Mahalakshmi Engineering College

advertisement
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
EC2205-Electronic Circuits-1 UNIT II
MIDBAND ANALYSIS OF SMALL SIGNAL AMPLIFIERS
PART –A (2 MARK QUESTIONS)
1. Define CMRR. (NOV/DEC 2009) (NOV/DEC 2010)
The common-mode rejection ratio (CMRR) of a differential amplifier (or other device) is the
tendency of the device to reject the input signals common to both input leads.
2. Draw the small signal equivalent circuit of FET(NOV/DEC 2009)
3.
Define Miller's theorem. (APR/MAY 2010)
The Miller theorem refers to the process of creating equivalent circuits. It asserts that a floating
impedance element, supplied by two voltage sources connected in series, may be split into two
grounded elements with corresponding impedances. There is also a dual Miller theorem with
regards to impedance supplied by two current sources connected in parallel. The two versions
are based on the two Kirchhoff's circuit laws.
4. What is the coupling schemes used in multistage amplifiers? (APR/MAY 2010).
1.
2.
3.
4.
Direct Coupling
RC Coupling
Impedance Coupling
Transformer Coupling
5. Draw a Darlington amplifier with Boots trap arrangement. (NOV/DEC 2010)
6.
Why are common emitter amplifiers more popular? (NOV/DEC 2011)
The CE amplifier is preferred in most of the applications because of the following reasons:
1.
2.
Medium input impedance
High voltage gain
7. Determine the output voltage of a differential amplifier for the input voltages of 300 V
and 240V. The differential gain of the amplifier is 5000 and the value of the CMRR is 100.
(NOV/DEC 2011)
Vo= 5000(300-240)
8.
What is an amplifier? How are amplifiers classified according to the input?
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
An amplifier is a circuit which produces output voltage proportional to the input voltage. It is an
electronic device that increases the power of a signal. It does this by taking energy from a
power supply and controlling the output to match the input signal shape but with a larger
amplitude. In this sense, an amplifier modulates the output of the power supply. These are
classified based on their inputs as
i)
ii)
iii)
iv)
9.
Current amplifier
Voltage amplifier
Trans conductance amplifier
Transresistance amplifier
How are amplifiers classified according to the transistor configuration?
The amplifiers are classified based on their transistor configuration as
i)
ii)
iii)
Common Base transistor amplifier
Common Emitter transistor amplifier
Common Collector transistor amplifier
10. What is the different analysis available to analyze a transistor?
The transistor can be analyzed in the following three different ways
i)
Small signal analysis
ii)
Large signal analysis
iii)
Mid band analysis
11. How can a DC&AC equivalent circuit of an amplifier be obtained?
12. What is small signal amplifier?
It amplifies a small signal. It usually has a very high input impedance, to avoid affecting the
source signal.
13. Draw the small signal equivalent model or h-parameter model of a transistor.
14. What are the advantages of h-parameter equivalent circuit?
h – parameters are real numbers up to radio frequencies .
1. They are easy to measure
2. They can be determined from the transistor static characteristics curves.
3. They are convenient to use in circuit analysis and design.
4. Easily convert able from one configuration to other.
5. Readily supplied by manufactories.
15. Tabulate the h-parameters for all the 3 configurations.
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
16. What are the steps involved in mid band analysis of single stage amplifiers?
1. Finding the AC equivalent circuit
2. Drawing the DC load line
3. Drawing the Ac load line
4. Frequency analysis
17. What is the need to go for simplified hybrid model?
The equivalent circuit of a transistor can be drawn using simple approximation by retaining its
essential features. These equivalent circuits will aid in analyzing transistor circuits easily and
rapidly.
18. What are the techniques available to improve the input impedances?
Bootstrapping is intended to increase the impedance, by using a small amount of positive
feedback.
19. What does bootstrapping means? Why bootstrap technique is called so?
A bootstrap circuit is one where part of the output of an amplifier stage is applied to the input,
so as to alter the input impedance of the amplifier.Because the feedback is positive, such
circuits can suffer from poor stability and noise performance compared to ones that don't
bootstrap.
20. Write short notes on base width modulation?
The decrease in effective base width Wb with increasing reverse bias is known as base width
modulation .The consequences:
1. There is less chance for recombination within the base region.
2. The concentration gradient of minority carriers is increased within the base, and
consequently, the current of minority carriers injected across the Emitter Junction increases,
with increasing reverse Collector Voltage.
3. For extremely large Voltages, Wb may be reduced to Zero causing Voltage break
down. The lowering of Emitter Junction Voltage causes an excessively large Emitter current,
thus placing an upper limit on the magnitude of the Collector Voltage
21. What is the need for differential amplifier?
A differential amplifier will amplify the difference between 2 signals. This is useful in control
systems, where one signal can represent what is actually happening (could be a position or
speed, for example). The other signal can represent what is desired.
22. Mention the advantages which are specific to Darlington connection.
The major advantage of a Darlington connection is high gain, typically ranging from over 100 to
1000. The forward voltage drop from the base to the emitter of the Darlington is approximately
two times the forward voltage drop of a single transistor. It is a beta multiplier therefore it has
higher emitter current capability.
23. What are the advantages of differential amplifier?
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
A differential amplifier helps to increase the CMRR which in turn helps to avoid unwanted
signals that couple into the input to get propagated. IT also helps to increase the signal to
noise ratio. Furthermore it provides larger output voltage swings.
24. Define multistage amplifier.
Additional amplification can be required to provide a signal having some specified level. The
first stage can be designed for input impedance, the last for output impedance, and one or
more intermediate stages for voltage gain. if a single stage of amplification will provide a
maximum gain of 100 and the desired gain from the device is 1000, two stages of amplification
will be required. The two stages might have gains of 10 and 100, 20 and 50, or 25 and 40. (The
overall gain is the product of the individual stages-10 X 100 = 20 X 50 = 25 X 40 = 1000.)
25. Write down the characteristics of CE&CC amplifier.
CE amplifier characteristics:
Large voltage and current gain
Input resistance is moderately large
Output resistance is fairly large.
CC amplifier characteristics:
26. State advantages and disadvantages of RC coupled amplifier.
1. Any signal in Audio frequency range can be amplified.
2. It provides faithful amplification of input signal.
3. Simple circuit diagram
4. By using the transistor BC107 it provides an amplification factor of nearly 50.
DISADVANTAGES OF RC COUPLED AMPLIFIER
1.
2.
Bjt Amplifier will oscillates on higher frequency range such as radio frequencies.
Band width is comparably narrow than JFET amplifier
27. Write down the applications of directly coupled amplifier?
Direct-coupled amplifiers are used in TV receivers, computers, regulator circuits and other
electronic instruments. It also forms a building block for differential amplifiers and operational
amplifiers.
28. Draw the small signal equivalent circuit of FET(NOV/DEC ’12)
29. State Bisection theorem. (NOV/DEC ’12)
The theorem shows that any symmetrical two-port network can be transformed into a lattice
network. The theorem often appears in filter theory where the lattice network is sometimes
known as a filter X-section following the common filter theory practice of naming sections after
alphabetic letters to which they bear a resemblance. The theorem required the two halves of
the network to be topologically symmetrical.
PART- B (16 MARK QUESTIONS
1. For the CC transistor amplifier circuit, find the expressions for input impedance and
voltage gain. Assume suitable model for transistor. (NOV/DEC 2009)
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
Common Collector Amplifier:
If a high impedance source is connected to low impedance amplifier then most of
the signal is dropped across the internal impedance of the source. To avoid this problem
common collector amplifier is used in between source and CE amplifier. It increases the
input impedance of the CE amplifier without significant change in input voltage.
The figure below shows a common collector (CC) amplifier. Since there is no
resistance in collector circuit, therefore collector is ac grounded. It is also called grounded
collector amplifier. When input source drives the base, output appears across emitter
resistor. A CC amplifier is like a heavily swamped CE amplifier with a collector resistor
shorted and output taken across emitter resistor.
vout = vin - vBE
Therefore, this circuit is also called emitter follower, because VBE is very small. As vin
increases, vout increases.
If vin is 2V, vout = 1.3V
If vin is 3V, vout = 2.3V.
Since vout follows exactly the vin therefore, there is no phase inversion between input and
output.
The output circuit voltage equation is given by
VCE = VCC – IE RE
Since IE » IC
\ IC = (VCC – VCE ) / RE
This is the equation of dc load line. The dc load line is shown in the diagram.
Voltage gain:
Fig. 2, shows an emitter follower driven by a small ac
voltage. The input is applied at the base of transistor and output
is taken across the emitter resistor. Fig. 3, shows the ac
equivalent circuit of the amplifier. The emitter is replaced by ac
resistance r'e.
Fig. 2
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
Fig. 3
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
The ac output voltage is given by
vout = RE ie
and, vin = ie (RE + r'e )
Therefore, A = RE / ( RE +r'e )
Since r'e << RE
\ A » 1.
Therefore, it is a unity gain amplifier.
Fig. 4
The input impedance at the base is given by
The total input impedance of an emitter follower includes biasing resistors in parallel with
input impedance of the base.
zin = R1 || R2|| b (r'e + RE)
Since b RE is very large as compared to R1 and R2.
Thus,
zin ≈ R1 || R2
Therefore input impedance is very high.
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
2. Discuss the working of a basic emitter coupled differential amplifier circuit. (NOV/DEC
2009) (APR/MAY 2010)
A simple circuit able to amplify small signals applied between its two inputs, yet reject noise
signals common to both inputs. This circuit has a unique topology: two inputs and two outputs.
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
it improves Common-Mode Rejection (CMR), an essential function when the common-mode
signal is a noise source or DC bias from a previous stage.
GAIN AND REJECTION
The bias condition assumes equal voltages at VB1 and VB2, forcing the bias current IE (set by
RE) to split equally between the transistors resulting in IC1 = IC2. With RC1 = RC2, equal
voltages develop at VC1 and VC2.
DIFFERENTIAL GAIN
Now suppose a differential signal is applied to the inputs. This will incrementally increase and
decrease the base voltages to
VB1 + ΔV and VB2 - ΔV
Because Q1 conducts a little more and Q2 a little less, IE now splits unevenly creating
IC1 > IC2
This, in turn, forces the voltage at VC1 to decrease and VC2 to increase. The result: a voltage
change at each output due to a differential input.
COMMON-MODE REJECTION
Now a common-mode input signal is applied,incrementally increase both inputs to
VB1 + ΔV and VB2 + ΔV
Because the conduction level of neither transistors has changed (both bases and emitters moved by the
same amount), the collector currents did not change.
IC1 = IC2 ≈ IE / 2.
Subsequently, the voltages at VC1 and VC2 remain the same. Therefore, the circuit has rejected a
signal common to both inputs.
Well, the last statement is almost true. Actually, a change in emitter voltage had a small ill effect. It
changed the bias current IE set by RE. And this directly impacted IC1 = IC2 ≈ IE / 2, slightly shifting the
levels at VC1, VC2. As you can see the rejection is not perfect. However, it can still be effective at
removing a large part of noise or a DC bias common to both inputs.
DIFFERENTIAL GAIN
How do we calculate the differential voltage gain? You can think of Q1 and Q2 as current sources
controlled by their base voltages. RC1 and RC2 then convert the currents back into voltages. First, the
small signal collector current
iC = gm ∙ vB
where the transconductance gm (A / V) is set by the DC collector current
gm = Ic / VT = Ic / 25 mV at room temperature. Then, RC transforms ic back to a voltage
vC = RC ∙ gm ∙ vB
Getting the input VS into the picture, notice it divides equally across each base-emitter junction, but with
opposite polarities. Putting it all together you get a single-ended output for each transistor
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
vC1 = RC1 ∙ gm ∙+VS / 2
vC2 = RC2 ∙ gm ∙ -VS / 2
Subtracting the two outputs
vC1 - vC2 = RC ∙ gm ∙ VS
3. (i)Derive the expressions for the following of a small signal transistor
amplifier in terms of the h-parameters
(1) current gain
(2) voltage gain
(3) input impedance
(4) output admittance. (APR/MAY 2010)
Consider the two-port network of CE amplifier. RS is the source resistance and ZL is the load
impedence h-parameters are assumed to be constant over the operating range. The ac
equivalent circuit is shown in fig. 2. (Phasor notations are used assuming sinusoidal voltage
input). The quantities of interest are the current gain, input impedence, voltage gain, and output
impedence.
Current gain:
For the transistor amplifier stage, Ai is defined as the ratio of output to input currents.
Input Impedence:
The impedence looking into the amplifier input terminals ( 1,1' ) is the input impedence Zi
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
Voltage gain:
The ratio of output voltage to input voltage gives the gain of the transistors.
Output Admittance:
It is defined as
(ii) Compare CB, CE and CC amplifiers. (4) (APR/MAY 2010) (NOV/DEC 2009) (NOV/DEC
2011)
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
4. Explain the AC and DC coupling of multistage amplifiers.
There is a limit to how much gain can be achieved from a single stage amplifier.
Single stage amplifiers also have limits on input and output impedance. Multistage amplifiers
are used to achieve higher gain and to provide better control of input and output
impedances.Twosignificant advantages that multistage amplifiers haveover single stage
amplifiers are flexibility in input and output impedance and much higher gain.Multistage
amplifiers can be divided into two general classes, open -loop and negative feedback. Openloop amplifiers are easy to understand and design but are sensitive to environment and
component variations. Negative feedback amplifiers are a bit more difficult to understand but
have the advantage of being much less sensitive to environment and component variations.
For many amplifier applications it is desirable for the input impedance to be very
high.Thus, it is common for the first amplifier stage to be either a common-collector
(a.k.a.emitter follower) bipolar junction transistor stage or a common-drain (a.k.a.
sourcefollower) or even common-source field effect transistor stage. Sometimes high
inputimpedance is not important and the first stage may be a common -emitter.Field effect
transistors are normally used only for the input stage and for the specific application of very
high input impedance.It is also common situation that it is desirable for the output impedance of
an amplifier to be low. A common-collector circuit is typically used.But in some cases there is
no need for very low output impedance and the last stage may be a common-emitter.For the
amplifier stages in-between it is common to employ common-emitter circuits because those
can achieve high voltage gain.Analysis of multistage amplifiers is performed stage at a time
starting with the input stage and progressing to the output stage. The analysis methods are
identical to that of single stage amplifiers. One point of confusion for students analyzing direct
coupled amplifiers is that the collector resistor for one stage becomes the base resistor for the
next stage. In stages involving common -collector amplifiers some modified approaches,
including some simplifying approximations, are necessary because characteristics of commoncollector stages are dependent on external impedances. The student should not be afraid of
approximations since that is routinely done all the time in the profession. An advantage of
closed loop amplifiers is that approximation errors are greatly reduced.The design of multistage
amplifiers begins at the output and progresses backwards to the input. Initially the number of
stages is not known. The design progresses with additional stages until the requirements are
met. It is common for there to be a lot of iteration in the design and the number of stages might
vary with each iteration.
AC coupling:
The simplest method to construct a multistage amplifier is to cascade several single stage
amplifiers with their usual AC coupling. AC coupling blocks DC paths and makes the bias
design or analysis of each stage simple. The use of AC coupling requires a lot of capacitors
and resistors that could be eliminated with innovative design. The key to this is to arrange for
the quiescent voltage at the output of one stage to be the same as the desired quiescent
voltage at the input of the next stage. Then the AC coupling capacitor and associated bias
resistors are not needed. The bias resistors and thus reduce the gain of the amplifier. An
amplifier designed without these can achieve higher gain and with much fewer parts
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
DC Coupling
Direct coupled amplifiers are a challenge for the designer as the bias analysis and
design calculations are more complicated.It is important to design the amplifier such that the
DC gain is low. Depending on the desired bandwidth and how much noise can be tolerated in
the output the practical limit of gain may range from less than a thousand to many millions.
Typical amplifiers in the audio frequency range that operate on microphone or phonograph
pickups have voltage gains in the one thousand range as that is what is needed. The total
voltage gain from microphone to a several hundred watt speaker system in an auditorium can
be in the 50,000 range. The power gain might be in the 120 dB range. Amplifiers can be either
open-loop (no feedback from output to input) or closed-loop (some of the amplifier output is
fed back to the input). The closed loop utilizes negative feedback to reduce undesirable
characteristics of the amplifier . Closed loop amplifiers can achieve a very specific and stable
gain with varying temperature and transistor characteristics as well as much lower distortion.
Many of the challenging bias problems for multistage amplifiers are eliminated with
negative feedback. The mathematics is more complicated.
5.
Draw the circuit of a common source FET amplifier & explain its operation (16)
Common Source JFET Amplifier
Small signal amplifiers can also be made using Field Effect Transistors or FET's . These
devices have the advantage over bipolar transistors of having extremely high input impedance
along with a low noise output making them ideal for use in amplifier circuits that have very
small input signals. The design of an amplifier circuit based around a junction field effect
transistor or "JFET", (n-channel FET) or even a metal oxide silicon FET or "MOSFET" is
exactly the same principle as that for the bipolar transistor circuit. Firstly, a suitable quiescent
point or "Q-point" needs to be found for the correct biasing of the JFET amplifier circuit with
single amplifier configurations of Common-source (CS), Common-drain (CD) or Sourcefollower (SF) and the Common-gate (CG) available for most FET devices. These three JFET
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
amplifier configurations correspond to the common-emitter, emitter-follower and the commonbase configurations using bipolar transistors. The Common Source JFET Amplifier as this is
the most widely used JFET amplifier design. The common source JFET amplifier circuit is
shown below.gate with a sinusoidal signal. Any suitable pair of resistor values in the correct
proportions would produce the correct biasing voltage so the DC gate biasing voltage Vg is
given as:
The input signal, (Vin) of the common source JFET amplifier is applied between the Gate
terminal and the zero volts rail, (0v). With a constant value of gate voltage Vg applied the JFET
operates within its "Ohmic region" acting like a linear resistive device. The drain circuit contains
the load resistor, Rd. The output voltage, Vout is developed across this load resistance. The
efficiency of the common source JFET amplifier can be improved by the addition of a resistor,
Rs included in the source lead with the same drain current flowing through this resistor.
Resistor, Rs is also used to set the JFET amplifiers "Q-point".
When the JFET is switched fully "ON" a voltage drop equal to Rs x Id is developed
across this resistor raising the potential of the source terminal above 0v or ground level. This
voltage drop across Rs due to the drain current provides the necessary reverse biasing
condition across the gate resistor, R2 effectively generating negative feedback. In order to
keep the gate-source junction reverse biased, the source voltage, Vs needs to be higher than
the gate voltage, Vg. This source voltage is therefore given as:
Then the Drain current, Id is also equal to the Source current, Is as "No Current" enters the
Gate terminal and this can be given as:
This potential divider biasing circuit improves the stability of the common source JFET
amplifier circuit when being fed from a single DC supply compared to that of a fixed voltage
biasing circuit. Both resistor, Rs and the source by-pass capacitor, Cs serve basically the
same function as the emitter resistor and capacitor in the common emitter bipolar transistor
amplifier circuit, namely to provide good stability and prevent a reduction in the loss of the
voltage gain. However, the price paid for a stabilized quiescent gate voltage is that more of
the supply voltage is dropped across Rs.
The basic circuit and characteristics of a Common Source JFET Amplifier are very
similar to that of the common emitter amplifier. A DC load line is constructed by joining the
two points relating to the drain current, Id and the supply voltage, Vdd remembering that
when Id = 0: ( Vdd = Vds ) and when Vds = 0: ( Id = Vdd/R L ). The load line is therefore the
intersection of the curves at the Q-point as follows.
6. Explain the differential and common mode operation of transistorized differential amplifier.
(16)
A differential amplifier is a type of electronic amplifier that amplifies the difference between two
voltages but does not amplify the particular voltages.The output of an ideal differential amplifier
is given by:
Where
and
are the input voltages and
is the differential gain.In practice, however,
the gain is not quite equal for the two inputs. This means, for instance, that if
and
are
equal, the output will not be zero, as it would be in the ideal case. A more realistic expression
for the output of a differential amplifier thus includes a second term.
is
called
the
common-mode
gain
of
the
amplifier.
As differential amplifiers are often used to null out noise or bias-voltages that appear at both
inputs, a low common-mode gain is usually desired.The common-mode rejection ratio (CMRR),
usually defined as the ratio between differential-mode gain and common-mode gain, indicates
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
the ability of the amplifier to accurately cancel voltages that are common to both inputs. The
common-mode rejection ratio is defined as:
In a perfectly symmetrical differential amplifier,
is zero and the CMRR is infinite. Note that a
differential amplifier is a more general form of amplifier than one with a single input; by
grounding one input of a differential amplifier, a single-ended amplifier results.
Common mode
At common mode (the two input voltages change in the same directions), the two voltage
(emitter) followers cooperate with each other working together on the common high-resistive
emitter load (the "long tail"). They all together increase or decrease the voltage of the common
emitter point (figuratively speaking, they together "pull up" or "pull down" it so that it moves). In
addition, the dynamic load "helps" them by changing its instant ohmic resistance in the same
direction as the input voltages (it increases when the voltage increases and vice versa.) thus
keeping up constant total resistance between the two supply rails. There is a full (100%)
negative feedback; the two input base voltages and the emitter voltage change simultaneously
while the collector currents and the total current do not change. As a result, the output collector
voltages do not change as well.
Differential mode
Normal. At differential mode (the two input voltages change in opposite directions), the two
voltage (emitter) followers oppose each other - while one of them tries to increase the voltage
of the common emitter point, the other tries to decrease it (figuratively speaking, one of them
"pulls up" the common point while the other "pulls down" it so that it stays immovable) and v.v.
So, the common point does not change its voltage; it behaves like a virtual ground with a
magnitude determined by the common-mode input voltages. The high-resistive emitter element
does not play any role since it is shunted by the other low-resistive emitter follower. There is no
negative feedback since the emitter voltage does not change at all when the input base
voltages change. Тhe common quiescent current vigorously steers between the two transistors
and the output collector voltages vigorously change. The two transistors mutually ground their
emitters; so, although they are common-collector stages, they actually act as common-emitter
stages with maximum gain. Bias stability and independence from variations in device
parameters can be improved by negative feedback introduced via cathode/emitter resistors
with relatively small resistances.
Overdriven. If the input differential voltage changes significantly (more than about a hundred
millivolts), the base-emitter junction of the transistor driven by the lower input voltage becomes
backward biased and its collector voltage reaches the positive supply rail. The other transistor
(driven by the higher input voltage) saturates and its collector voltage begins following the input
one. This mode is used in differential switches and ECL gates.
Breakdown. If the input voltage continues increasing and exceeds the base-emitter breakdown
voltage, the base-emitter junction of the transistor driven by the lower input voltage breaks
down. If the input sources are low resistive, an unlimited current will flow directly through the
"diode bridge" between the two input sources and will damage them.
At common mode, the emitter voltage follows the input voltage variations; there is a full
negative feedback and the gain is minimum. At differential mode, the emitter voltage is fixed
(equal to the instant common input voltage); there is no negative feedback and the gain is
maximum.
Single-ended input
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
The differential pair can be used as an amplifier with a single-ended input if one of the inputs is
grounded or fixed to a reference voltage (usually, the other collector is used as a single-ended
output) This arrangement can be thought as of cascaded common-collector and common-base
stages or as a buffered common-base stage.[nb 4]
The emitter-coupled amplifier is compensated for temperature drifts, VBE is cancelled, and the
Miller effect and transistor saturation are avoided. That is why it is used to form emitter-coupled
amplifiers (avoiding Miller effect), phase splitter circuits (obtaining two inverse voltages), ECL
gates and switches (avoiding transistor saturation), etc.
7.
Derive the expression for the voltage gain of Common source amplifier
When a small ac signal is coupled into the gate it produces variations in gate source voltage.
This produces a sinusoidal drain current. Since an ac current flows through the drain resistor.
An amplified ac voltage is obtained at the output. An increase in gate source voltage produces
more drain current, which means that the drain voltage is decreasing. Since the positive half
cycle of input voltage produces the negative half cycle of output voltage, we get phase
inversion in a CS amplifier.
The ac equivalent circuit is shown in fig below.
The ac output voltage is
vout = - gm v gS RD
Negative sign means phase inversion. Because the ac source is directly connected between
the gate source terminals therefore ac input voltage equals
Vin = Vgs
The voltage gain is given by
8.
Draw a small signal low frequency model for an FET amplifier and explain. (16)
Similar to Bipolar junction transistor. JFET can also be used as an amplifier. The ac equivalent circuit of
a JFET is shown in fig below.
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
The resistance between the gate and the source RGS is very high. The drain of a JFET acts like a
current source with a value of gm Vgs. This model is applicable at low frequencies.
From the ac equivalent model
The amplification factor µ for FET is defined as
When VGS = 0, gm has its maximum value. The maximum value is designated as gmo.
Again consider the equation,
As VGS increases, gm decreases linearly.
Measuring IDSS and gm, VGS(off) can be determined
FET as Amplifier:
Fig shows a common source amplifier.
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
Fig. 2
When a small ac signal is coupled into the gate it produces variations in gate source voltage. This
produces a sinusoidal drain current. Since an ac current flows through the drain resistor. An amplified ac
voltage is obtained at the output. An increase in gate source voltage produces more drain current, which
means that the drain voltage is decreasing. Since the positive half cycle of input voltage produces the
negative half cycle of output voltage, we get phase inversion in a CS amplifier.
The ac equivalent circuit:
The ac output voltage is
vout = - gm v gS RD
Negative sign means phase inversion. Because the ac source is directly connected between the gate
source terminals therefore ac input voltage equals
Vin = Vgs
The voltage gain is given by
The further simplified model of the amplifier is shown in fig below.
Fig. 4
9.
Zin is the input impedance. At low frequencies, this is parallel combination of R1|| R2|| RGS. Since RGS is
very large, it is parallel combination of R1 & R2. A Vin is output voltage and RD is the output impedance.
Explain in detail about transformer coupled amplifier and direct coupled amplifier.
Transformer Coupling
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
Figure above shows a transformer-coupling network between two stages of amplification. The
transformer action of T1 couples the signal from the first stage to the second stage. In figure 1-12,
the primary of T1 acts as the load for the first stage (Q1) and the secondary of T1 acts as the
developing impedance for the second stage (Q2). No capacitor is needed because transformer
action couples the signal between the primary and secondary of T1. The inductors that make up the
primary and secondary of the transformer have very little dc resistance, so the efficiency of the
amplifiers is very high. Transformer coupling is very often used for the final output (between the final
amplifier stage and the output device) because of the impedance-matching qualities of the
transformer. The Frequency response of transformer-coupled amplifiers is limited by the inductive
reactance of the transformer just as it was limited in impedance coupling.
A direct-coupled amplifier or DC amplifier, refers to a type of amplifier. In this type of amplifier, the
output of one stage of the amplifier is coupled to the input of the next stage in such a way as to
permit signals with zero frequency, also referred to as direct current, to pass from input to output.
Current
The amplification of DC (zero frequency) is possible only by this amplifier, hence it later becomes the
building block for differential amplifier and operational amplifier.
Advantages
There are several advantages of using this type of amplifier, including:
 Simple circuit arrangement as there are minimum number of components
 For above reason, also quite inexpensive
 Can be used to amplify zero and low frequency signals
Disadvantages
In addition to the advantages, there are also several known disadvantages. These include:
 Unwanted change in output voltage without any change in the input is often observed. This is
caused by temperature variations, aging of components, etc. and is referred to as 'drift'. Transistor
parameters change with temperature, hence output is affected by temperature variations.
Even if input is AC, some DC component is present at output.
Application
Direct-coupled amplifiers are used in TV receivers, computers, regulator circuits and other
electronic instruments. It also forms a building block for differential amplifiers and operational
amplifiers.
10. Define h parameters of a CE transistor. A transistor has the following parameters hie =
800Ω, hre= 10-4, hfe = 80, hoe = 10-7, for a load of 3 Kilo ohm. Calculate the
current
gain, voltage gain and power gain.(NOV/DEC’12)
Current gain
AI = -
h fe
1  hoe Z l
Input impedance ZI= hie -
hre h fe
YL  hoe
=-
80
1  10 3 X 10 3
= 800 -
7
10  4 X 80
1 / 3 X 10  3  10  7
AI Z L
Zi
Voltage gain
AV =
Power gain
AP = A V A I
11. Define CMRR.(APR/MAY11)
The common-mode rejection ratio (CMRR) of a differential amplifier (or other device) is the tendency
of the device to reject the input signals common to both input leads. A high CMRR is important in
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
applications where the signal of interest is represented by a small voltage fluctuation superimposed
on a (possibly large) voltage offset, or when relevant information is contained in the voltage
difference between two signals. (An example is audio transmission over balanced lines.)
Ideally, a differential amplifier takes the voltages,
output voltage
, where
real differential amplifier is better described as
and
on its two inputs and produces an
is the differential gain. However, the output of a
where
is the common-mode gain, which is typically much smaller than the differential
gain.The CMRR is defined as the ratio of the powers of the differential gain over the common-mode
gain, measured in positive decibels (thus using the 20 log rule):
As differential gain should exceed common-mode gain, this will be a positive number, and the
higher the better.
The CMRR is a very important specification, as it indicates how much of the common-mode signal
will appear in your measurement. The value of the CMRR often depends on signal frequency as
well, and must be specified as a function thereof.
It is often important in reducing noise on transmission lines. For example, when measuring the
resistance of a thermocouple in a noisy environment, the noise from the environment appears as an
offset on both input leads, making it a common-mode voltage signal. The CMRR of the
measurement instrument determines the attenuation applied to the offset or noise.
ii) Write short notes on multistage amplifiers
The performance obtainable from a single stage amplifier is often insufficient for many applications,
hence several stages may be combined forming a multistage amplifier. These stages are
connected in cascade, i.e. output of the first stage is connected to form input of second stage,
whose output becomes input of third stage, and so on.
Overall gain
The overall gain of a multistage amplifier is the product of the gains of the individual stages
(ignoring potential loading effects):
Gain (A) = A1* A2*A3 *A4 *... *An.Alternately, if the gain of each amplifier stage is expressed in
decibels (dB), the total gain is the sum of the gains of the individual stages:
Gain in dB (A) = A1 + A2 + A3 + A4 + ... An
Inter-stage coupling
Depending on on the manner in which the different amplifier stages are connected, one of the
following amplifiers may result:
 R-C coupled amplifier
 R-L coupled amplifier
 L-C coupled amplifier
 Transformer coupled amplifier
 Direct coupled amplifier
12. Discuss in detail methods of increasing input impedance using Darlington connection and
Bootstrapping. (16) (NOV/DEC 2011) (NOV/DEC’12)
Techniques to improve input impedance:
1. Using direct coupling(Darlington connection)
2. Using bootstrap technique
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
Darlington emitter follower circuit
The ideal voltage amplifier should have infinite input impedance and zero output impedance.The CC
and CE with Re basic amplifiers have these properties.The Input impedance of these amplifiers is Ri =
hie+(1+hfe)Re using the simplified model (assuming that hfeRe << 0.1)
There are other practical limitations also.
1. As Re increases the bias current causes a larger voltage drop across it. For middle of
operating range VCE = VRe = VCC/2. We thus require larger impractical power supply voltage
2. In integrated circuits Re occupies chip area. Larger the value, greater is the chip area
occupied, leaving less for other components.
3. Bias resistance appear in parallel with the Ri and with typical values of a few 100K the
parallel combination RI is now decided by the bias resistance, and is hence lower.
The problems (1) and (2) are due to the fact that we are thinking of Re as Ohmic physical
resistance.If Re is an equivalent resistance, created by a relatively smaller physical resistance in
another CC circuit, this problem can be solved. (The DARLINGTON PAIR circuit). The bias resistance
problem (3) may be solved by the BOOTSTRAPPING technique.
ADVANTAGES:
 Very high current gain
 Very high input impedance for
overall circuit
DISADVANTAGES:
 Slow switching speed
 Limited bandwidth
 Introduces a phase shift that can give
 Darlington pairs are widely
available in a single package or
they can be made from two
separate transistors
 Convenient and easy circuit
configuration to use

rise to problems at certain frequencies
in circuit using negative feedback
Higher overall base-emitter voltage =
2 x Vbe.
 High saturation voltage (typically
around 0.7 V) which can lead to high
levels of power dissipation in some
applications
Bootstrap emitter follower circuit
a bootstrap circuit is one where part of the output of an amplifier stage is applied to the input,
so as to alter the input impedance of the amplifier. When applied deliberately, the intention is usually to
increase rather than decrease the impedance. [1] Generally, any technique where part of the output of a
system is used at startup A bootstrap circuit is an arrangement of components Usually it is intended to
increase the impedance, by using a small amount of positive feedback, usually over two stages. This
was often necessary in the early days of bipolar transistors, which inherently have quite a low input
impedance. Because the feedback is positive, such circuits can suffer from poor stability and noise
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
MAHALAKSHMI
ENGINEERING COLLEGE
TIRUCHIRAPALLI-621213.
performance compared to ones that don't bootstrap.The Darlington pair is a cascade of two common
collector circuits as shown.With input resistance of Q2 acting as Re for Q1.Q1 thus sees a large
equivalent Re, but the physical resistance producing this effect is R2 which is much smaller. Emitter of
Q1 is at VR2 +VBE2 and is reasonably low voltage, not requiring a high voltage power supply.
EC 2205 – ELECTRONIC CIRCUITS I -III SEM ECE
Download