Accepted Acc e Manuscript Not Copyedited

advertisement
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Overview and Outlook of 3D IC Packaging, 3D Si Integration, and 3D IC Integration
John H. Lau
ASM Pacific Technology
16-22 Kung Yip Street, Kwai Chung, N.T., Hong Kong
852-2619-2757, john.lau@asmpt.com
ABSTRACT
Co
py
ed
ite
d
3D integration consists of 3D IC packaging, 3D Si integration, and 3D IC integration. They are different
and in general the TSV (through-silicon via) separates 3D IC packaging from 3D Si/I
Si/IC integrations since
ndd 3D IIC integration are
the latter two use TSV but 3D IC packaging does not. 3D Si integration and
mp, wh
while 3D Si integration
different. 3D IC integration stacks up the thin chips with TSV and microbump,
Si
stacks up thin wafers with TSV alone (i.e., bumpless). TSV is the heart off 3D Si/I
Si/IC integrations and is
end of 3D integration will be
the focus of this investigation. Also, the state-of-the-art, challenge, and trend
volume
olume m
presented and examined. Furthermore, supply chain readiness for high-volume
manufacturing of TSVs is
discussed.
rip
tN
ot
I. INTRODUCTION
The Electronics Industry has been the largest industry since 1996
996 and may well reach 1.6 trillion dollars
(i.e., $1.5x1012) by the end of 2015 [1, 2, 3]. The most important
ortant
tant in
invention of the Electronics Industry is,
en, Wal
arguably the transistor (1947), which earned John Bardeen,
Walter Brattain, and William Shockley the
g ated ccircuit (IC) by Jack Kilby in 1958 (which
gra
1956 Nobel Prize in Physics. The invention of the integrated
earned him the 2000 Nobel Prize in Physics), and six months later by Robert Noyce (who didn't share the
19
Nobel Prize with Jack Kilby because he passed awayy in 1990
1990) excited the generations of IC integrations.
pt
ed
Ma
nu
sc
stors
ors oon an IC chip (for minimum costs and innovations)
The proposal of doubling the number of transistors
also ca
ccalled Moore’s law) [4] has been the most powerful
every 24 months by Gordon Moore in 1965 (also
ctronics
tronic industry in the past ~50 years. This law emphasizes
driver for the development of the microelectronics
su
lithography scaling and integration (on a 2D surf
surface) of all functions on a single chip, perhaps through
nd, the in
system-on-chip (SoC). On the other hand,
integration of all these functions can be achieved through
aging [[1], 3D IC integration [1-3], [5-70], [107-125] and 3D Si
3D integrations such as 3D IC packaging
n in F
i
igu
integration [1-3], [69-125] as shown
Figure
1 [1-3].
Don’t use TSV
Ac
ce
3D IC Packaging
Maturity
ty
Applied
R&D
Basic/
Applied
R&D
3D Si Integration
Full swing production for memories.
Mass
Mas
Production
Prod
Produ
Commercia
C
-lization
Use TSV
3D IC Integration
Volume production for mobile
products.
Die
Stacking
with wire
bonds
Active applied R&D is undertaken.
In the phase of industrialization.
Samples are shipping. Small
volume production
Package
on
Package
(PoP)
Stacking
C2C, C2W
Stacking
Still in upstream research.
Challenges such as knowngood-die, yield & device
architecture, and electronic
design automation are key
issues.
W2W
Stacking
Technology
Figure 1 3D integration technologies vs. maturity [2]
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
ed
ite
d
Deep Pits (TSVs)
Figure 2 TSV invented by Shockley (US Patent#3,044,909)
09)
tN
ot
Co
py
TSV was invented more than 50 years ago [1, 126] by the 1956 Nobel
bell Laur
Laureate
Laure
in Physics, William
Shockley. (Yes, the same Shockley who co-invented the transistor, which is generally considered the
Semicond
micon
greatest invention in Semiconductor industry.) He filed the patent “Semiconductive
wafer and method of
atent (3,044,909)
(3,0
making the same” on October 23, 1958, and as granted the U.S. patent
on July 17, 1962. One
ductor world
w
of the key claims is shown in Figure 2, which gets the Semiconductor
so excited today. Basically,
allo
al ow the
th signals from its top side to its
the “deep pits” (which are called TSVs today) on the waferr allow
bottom side and vice versa.
nu
sc
rip
ation [[1
atio
TSV is the heart of 3D Si integration and 3D IC Integration
[1, 127]. It provides the opportunity for the
d siz
size and pitch of interconnects. Compared with
shortest chip-to-chip interconnects and the smallest pad
onding the advantages of TSV include: (a) better
other interconnection technologies, such as wire bonding,
mption,
ption, ((c) wider data width and thus bandwidth, (d)
electrical performance, (b) lower power consumption,
ighter
ghter w
we
higher density, (e) smaller form factor, and (f) lighter
weight, [1-3] and [5-125].
ed
Ma
disrupti
TSV is a disruptive technology. As with alll disrup
disruptive technologies, the questions to ask are: “What is it
Unfortu
Unfortun
displacing?” and “What’s the cost?” Unfortunately,
TSV is trying to displace the wire-bonding
high-y
technology, which is a most mature, high-yie
high-yield, and low-cost technology [128]. However, just like
29, 13
130
solder-bumped flip-chip technology [129,
130], because of their unique advantages, TSVs will be here to
gh-per
h-pe for
f
stay and for a very long time for high-performance
and high-density applications.
ce
pt
oduction
uction for MEMS (micro-electro-mechanical systems) [131, 132] and
TSV has been in volume production
al--oxide
oxid
CMOS (complementary metal-oxide-semiconductor)
image sensor [133, 134]. However, they are out of
ich
ch is foc
the scope of this study, which
focused on memory, logic, processor, and SoC.
Ac
ation is
i a very old idea [69, 70] which consists of two or more layers of active
3D (IC and Si) integration
ntss that
tha are integrated vertically through TSV (it used to be called vertical
electronic components
s
sin
interconnection) into a single
circuit. It was trigged by the advance of the silicon-on-insulator (SOI)
technology first reported by Gat and his colleagues more than 35 years ago [135], when semiconductor
people thought Moore’s law could be hitting the wall by the 1990s. Of course, the fact showed otherwise.
3D IC integration is to stack up the thin chips with TSVs and microbumps. While 3D Si integration is to
stack up the thin wafers/chips with TSVs alone, i.e., bumpless. The advantages of 3D Si integration over
3D IC integration are: (1) better electrical performance, (2) less power consumption, (3) lower profile, (4)
less weight, and (5) higher throughput.
The most powerful proponent on 3D IC/Si integration is the 1965 Nobel Physics laureate, Richard
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Feynman. Almost thirty (30) years ago, during his lecture, Computing Machines in the Future in 1985,
said he: “Another direction of improvement (of computing power) is to make physical machines three
dimensional instead of all on a surface of a chip. That can be done in stages instead of all at once – you
can have several layers and then add many more layers as time goes on.” In this study, the overview,
challenge, and outlook of 3D IC integration, and 3D Si integration will be presented and discussed. 3D
IC packaging will be briefly mentioned first.
ed
ite
d
II. OVERVIEW AND OUTLOOKS OF 3D IC PACKAGING
Figure 1 shows that chip stacking by wire bonding and package-on-package (PoP) are now mature for
high volume manufacturing (HVM). Chip-to-chip interconnects and 3D fan-out embedded wafer-level
packaging are potential candidates for manufacturing.
Co
py
(a) Chip Stacking by Wire Bonding
The first paper on stacking of memory chips in 3D by die-attach material
all and A
Au wire bonding was
published by nCHIP [136] more than 20 years ago. Since then, memory chip
(especially the NAND Flash)
hip (espe
(espec
stacking by Au wire bonding has been in high volume production for, e.g.,
g., the smartphones and tablets.
Because of the surge in Au prices and research and development progress
ress
ss in Cu
C wire-bonding technology,
many companies have been looking for low-cost solutions, and the shift
hift from Au to Cu wire bonding as
shown in Figure 3 is genuinely picking up.
Top
Chip
Ma
n
Bottom
om Chi
Chip
Substrate
Figure 3 AMKOR’s 3D
D IC pack
packaging
pac
(stacked chips by Cu wirebonding)
Ac
ce
pt
ed
(b) Package-on-Package (PoP)
PoP comes from many different forms.
4 shows a wirebond package on top of a flip chip package.
rms. Figure
F
Fi
ckage
kage con
cconsists of two chips cross stacked and wire bonded on a package
It can be seen that the top package
ded.
d. The
T h bottom package consists of a solder bumped flip chip on another
substrate and then over molded.
package substrate with underfill.
All these package substrates are with solder balls. Again, PoP is in high
derfill.
fill. Al
A
.g.,
g., the sm
volume production for, e.g.,
smartphones and tablets [6].
Figure 4 3D wirebonding package on flip chip package. TOP: Schematic, BOTTOM: Photo image
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
(c) Chip-to-Chip Interconnects
Figure 5 shows the schematic of a 3D chip-to-chip interconnect [137] developed by IME. It consists of
the mother chip which is face-to-face connected to a daughter chip. The backside of the mother chip can
be attached to a heat spreader (and a heat sink if necessary). The whole module is attached (through the
flip chip mother die) to a rigid or flexible substrate. It is a very cost-effective 3D IC package without
using the TSVs [137]. In 2012, SONY’s PlayStation (CXD53135GG) attached Samsung’s 1Gb wide I/O
SDRAM (synchronous dynamic random access memory) face-to-face to the processor and then wire
bonded to the next level interconnects.
ed
ite
d
Heat spreader/sink (optional)
Mother Die
Solder
Bump
Daughter Die
py
Rigid or Flex Substrate
Microbump
Co
Mother Die
NiAu
Daughter Die
ot
Cu + AuSn
tN
Figure 5 3D IC packaging (chip-to-chip
chip in
interconnects) [13]
Ma
nu
sc
rip
Figure 6 shows Amkor’s double POSSUMTM multi-stacked
stacked die configurations [138] without the use of
TSVs. It can be seen that the grandma die is supporting
upporting
pportin the mother die, which is supporting the 3
daughter dies. The grandma die is solder bumped
d flip chip
ch on a package substrate, which is then attached
ges
es show
sho
on to a PCB (printed circuit board). The packages
shown in Figures 5 and 6 are not in manufacturing yet,
however they are the potential candidates for medium
medium-range performance applications.
Ac
ce
pt
ed
Daughter
aughter Die
D
Package
Packag
Subs
Substra
Substrate
Grandma Die
PCB
Cu Pillar Micro-bumps
with SnAg solder caps
Mother Die
SnAg
Cu
Figure 6 3D IC packaging (Amkor’s multiple chip-to-chip interconnects) [138]
(d) 3D Fan-Out Embedded Wafer-Level Package
Figure 7 shows the cross section SEM (scanning electron microscopy) images of a 3D fan-out embedded
wafer-level package [139] developed by StatsChipPAC. It consists of a bottom package which is a
fan-out embedded wafer-level ball grid array package (eWLB) and a top package which is a memory
package. It can be seen that (a) the eWLP contains the logic, baseband, or application processor, (b) the
eWLB is only 450μm thick, (c) the top package is 520μm thick and is housing the memory chips with
wire bonding, and (d) the interconnection is from the PCB, solder balls, RDLs (redistribution layers), to
processor, and solder balls, RDL, to the memory chips. This package is a potential candidate for mobile
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
and wearable products.
Top Package
450μm
Bottom
eWLB
520μm
Top Memory Package
Bottom eWLB
Si Chip
RDL
0.9mm
450μm
Solder balls
PCB
ed
ite
d
PCB
Figure 7 3D IC packaging (STATSChipPAC’s package-on-package)
kage)
age) [139]
[139
[1
ot
Co
py
(e) Outlook of 3D IC Packaging
The outlook of 3D IC packaging is great! Stacked dies with wirebonding
nding
ding and
an PoP are in HVM for
p interc
intercon
commercial products such as smartphones and tablets. Chip-to-chip
interconnects
are going to follow
afer--lev package is also a strong
SONY and get into manufacturing soon. 3D fan-out embedded wafer
wafer-level
candidate for production. All these 3D packaging technologies have been keeping 3D IC/Si integration
technologies away from HVM.
Ac
ce
pt
e
an
u
cr
ip
tN
F 3D S
Si INTEGRATION
III. OVERVIEW, CHALLENGES, AND OUTLOOK OF
orm the bonding operation for 3D Si integration
Basically, wafer-to-wafer (W2W) is the only way to perform
o bo
bon
nd on the good chips). In addition, the absence
and yield is a big issue (e.g., some bad chips are forced to
bond
al mana
manag
of (or an infinitesimal) gap between wafers and thermal
management could be a problem. Furthermore, the
he surfac
requirements of the bonding conditions, such as the
surface cleanness, surface flatness, and the class of
clean room for 3D Si integration are very high.
Figure 8 3D Si integration:
on:: ((L
(L)
L) IBM/RPI’s
I
IB
Cu-to-Cu bonding [71]. (R) NIMS/AIST/Toshiba/University of
Tokyo’s Cu-to-Cu bonding [74]
There are at least two different
iffe
ff
W2W bonding methods for 3D Si integration, namely, Cu-to-Cu bonding
and oxide-to-oxide bonding, as shown in Figures 8 and 9, respectively. In general, for Cu-to-Cu bonding,
the TSVs have to be fabricated before bonding. On the other hand, for oxide-to-oxide bonding, the TSVs
are fabricated after bonding. Figure 8a shows a high-quality bonding interface by IBM and RPI [71 - 73].
Before bonding, the Cu interconnects (pads) are fabricated with the standard back-end-of-line damascene
process, followed by the oxide CMP (chemical-mechanical polishing) process (oxide touch-up) to recess
the oxide level to 40 nm lower than the Cu surface. The bonding temperature is ramped up to 400°C.
Figure 8b shows a cross-section of the interface between the bumpless Cu-to-Cu electrodes (pads) given
by the NIMS/AIST/Toshiba/University of Tokyo [74-80].
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
ed
(a)
e
(b)
Co
py
Figure 9 3D Si integration: (a) MIT’s oxide-to-oxide bonding [81-87]. (b)) Leti/Freescale/
STMicroelectronics’
Leti/F
Leti/Fre
oxide-to-oxide bonding [88-89]
nu
sc
rip
tN
ot
Figure 9a shows a cross section of MIT’s oxide-to-oxide bonding
nding structure
st
of three-layer 3D (ring
llayers are bonded and interconnected
oscillator) bonded at 275°C [81-87]. It can be seen that: (1)) the laye
with W-plugs, (2) the conventional inter level connections are in the
t bottom two layers, and (3) the 3D
vias are located in the isolation (field) region
between transistors. Figure 9b shows
n betw
ric
ic bonding
bon
b
Leti/Freescale/STMicroelectronics’ dielectric-to-dielectric
structure of two device layers bonded
tall level
leve is formed on a 200 mm bulk wafer and SOI
at ~400°C [88-90]. It can be seen that: (a) first, a metal
nd then the bulk silicon of the SOI wafer is removed
wafer; next, these wafers are bonded face-to-face, and
1.5μm iinterstrata vias (ISVs) are formed, which make
down to the BOX (buried oxide) layer; (b) the ~1.5μm
etal la
lay
contact from upper strata to lower strata; (c) a metal
layer is formed at the top of the back side of the SOI
oth
h the tto
wafer, and (d) this ISV makes contact with both
top and bottom metal layers.
ce
pt
ed
Ma
In order to use the 3D Si integration technology
echnolog
chnolo to HVM products, many research and development
efforts have to be performed. Besides thermal
therma management, vias formation, thin-wafer handling, more
research and development efforts should
also
o
ould
aals be placed on areas such as: cost reduction, design and
process parameter optimization, bonding
onding
ding environment, W2W bonding alignment, wafer distortion, wafer
bow (warpage), inspection and testing,
testing contact performance, contact integrity, contact reliability, and
manufacturing yield issues. In
addition, packaging the 3D Si integration module systematically and
n additio
addit
reliably to the next level of interconnect
pose another great challenge.
nterconn
tercon
Ac
Besides technology issues
mentioned, the EDA (electronic design automation), which is the soul of
ess just m
3D Si integration [127]] is far fr
from ready. Urgently, the industry needs to build standard and infrastructure
and form an ecosystem
m for 33D Si integration. Then, the EDA can write the design, simulation, analysis &
i preparation, and test software with the following guidelines: (1) design
in
verification, manufacturing
automation from high level description to layout generation/optimization, (2) verification all dedicated
and tuned to 3D integration, (3) addressing the 3rd dimension not like a packaging bumping, (4)
addressing the true 3rd dimension, with partitioning, floor planning, automatic placing and routing, (5)
full extraction with the 3rd dimension, full 3D DRC (design rule checks), 3D LVS (layout vs. schematic)
with all tiers together in a same database, and (6) the 3D integrations have then to be seen as a whole
system distributed in several tiers, and not just a stack of predefined chips.
In the next 10 years, the industry will be hard-pressed for HVM with the 3D Si integration technology,
except for very niche applications. However, it should be noted and emphasized that 3D Si integration is
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
the right way to go and compete with Moore’s law. The industry should strive to make this happen!
IV. OVERVIEW, CHALLENGES, AND OUTLOOK OF 3D IC INTEGRATION
Unlike 3D Si integration, 3D IC Integration stacks up thin IC chips in the 3rd dimension with TSVs and
microbumps (< 25μm) [1] to achieve performance, low power consumption, wide bandwidth, and small
form factor. The ones which are in and going into low volume production are: memory stacking with
TSVs, hybrid memory cube (HMC) or wide I/O (input/output) DRAM, wide I/O DRAM 2, high
bandwidth memory (HBM), and 2.5D IC integration (passive interposer).
ed
ite
d
(a) Memory Stacking with TSVs
Samsung mass-produced (August 2014) industry’s first TSV-based 64GB DDR4 (double
dou data rate type 4)
DRAM module which consists of 36 DDR4 DRAM chips, each of which consists
sts of fo
ffour 4-gigabit (Gb)
DDR4 DRAM dies. The module performs twice as fast as a module that usess wire bonding
packaging,
bbo
while consuming approximately half the power. The module is for server application.
plication
plication.
Co
py
¾ The hybrid memory
mory
ry cube is a
h one with 2
4-DRAM (each
2000+
ic contro
TSVs) on a logic
controller
ze is
s slightly larger
(which size
than the DRAMs) wi
with TSVs
ybrid
brid memory
memor
me
¾ The hybrid
cube is on
an organic
anic
nic pack
package
pa
substrate.
¾ The
e TS
TSV
TSV-DRAM
RAM is ~50μm thick.
he
e TSV-D
TSV-D
¾ The
TSV-DRAM
is with 20μm
(tall) Cu pillar + solder cap
microb
microbump.
¾ The m
memory cube is assembled
on DRAM at a time with
one
thermal compression bonding.
¾ The
T heat dissipation is from
10W to 20W.
¾ TSV diameter ~ 5 to 6μm.
sc
rip
Package Substrate
tN
ot
DRAM Stack
Microbump
bump
mp
nu
Figure 10 Micron’s sample
ple on hy
hyb
hybrid memory cube (HMC) [140]
Ac
ce
p
te
d
Ma
(b) Hybrid Memory Cube (HMC)
Figure 10 shows the very first sample [140]
by Micron/IBM at the end of September 2013. It is a
140]
40] shipped
sh
ship
hybrid memory cube (HMC) which consists
onsist of 4 DRAMs each with 2000+ TSVs stacking on top of a
onsists
MC is th
logic controller with TSVs. The HMC
then attached to an organic package substrate. The TSV DRAM
cube is fabricated by Micron and
TSV controller is fabricated by IBM. The microbumps are Cu
nd
d the T
pillars (20μm-tall) with solder caps.
aps.
¾ 5X the bandwidth vs.
GDDR5
¾ Up to 16GB
¾ One-third the footprint
¾ Half the energy per bit
¾ Managed memory
stack for optimal levels
of reliability,
availability and
serviceability
Figure 11 Intel’s Knights Landing processor unit with Micron’s HMC
At the 2014 International Supercomputing Conference it was announced that Intel “Knights Landing”
processor unit would debut in 2015. It will support for up to 384GB of on board DDR4 (double data rate
type 4) RAM and 16GB of Micron HMC stacked DRAM on-package, providing up to 500GB/sec of
memory bandwidth (Figure 11). Micron reports that having such HMC in the CPU (central processor unit)
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
package is expected to deliver 5X the sustained memory bandwidth vs. GDDR5 (graphics double data
rate type 5) with one-third the energy per bit in half the footprint.
40μm
2880μm
ed
ite
d
40μm
1000μm
120μm
200μm
py
Figure 12 Schematic of JEDEX’s Wide I/O 2. Patent of quadrants
drants
ants oof microbumps
ce
pt
ed
TSV/RDL
Interposer
Ma
nu
sc
Hynix’s HBM
rip
tN
ot
Co
(c) Wide I/O DRAM and Wide I/O 2
JEDEC standard (JESD229) [141], Wide I/O Single Data Rate
(Wide I/O SDR), was published in
ate
te (Wi
December 2011 and JEDEC standard (JESD229-2) [141], Wi
Wide
de I/O 2 (WideI/O2), was published in
August 2014. They are meant for a stack of DRAMs with TSVs
SVs oon a logic controller with TSVs; very
similar to the HMC. The microbumps are divided into 4 quadrants
uadrants
adrant with signal assignments mirrored both
horizontally and vertically as shown in Figure 12, where the bu
bump pitch (40μm) of the area array is also
shown. The dimensions of each quadrant are 2880μm x 200μ
200μm. There will be a space between quadrants
200
in the x-direction (1000μm) and in the y-direction (120μm)
20μm) [141].
[1
TSV HBM DRAM
Microbump
GPU/CPU/SoC
GP
Optional
Base Chip
HBM Interface
Organic Package Substrate
PCBPCB
Ac
Underfill is needed between the interposer and the organic substrate. Also, underfill
Under
i needed between the interposer and the GPU/CPU and the HBM cube
is
Figure
re 13 Schematic
S
of JEDEX/Hynix’s High Bandwidth Memory (HBM)
(d) High Bandwidth Memory (HBM)
Figure 13 shows schematically a high bandwidth memory system developed by Hynix/AMD, which is
based on JEDEC standard (JESD235) [142], High Bandwidth Memory (HBM) DRAM, published in
December 2013. It is meant for graphics applications supporting bandwidth from 128GB/s to 256GB/s.
A TSV/RDL interposer is used to support/connect mainly the lateral communication (HBM interface)
between the HBM DRAM memory cube with TSVs and the SoC such as graphic processor unit (GPU)
or central processor unit (CPU) without TSVs. The optional base chip is used for buffering and signal
re-routing of the HBM DRAM cube.
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Underfill
UBM
Cu Pillar
Chip 1
Solder
Chip 2
RDLs
RDLs for lateral
communication
of chips
(Redistribution
layers)
TSV
Underfill
Si
Interposer
UBM
Solder Bumps
Build-up
Layers
Package Substrate
Solder Balls
Not-to-scale
ye
di
te
d
Figure 14 TSV/RDL passive interposer supporting chips on packagee substr
substrate
substra
Ma
nu
sc
rip
t
No
tC
op
(e) Passive Interposer (2.5D IC Integration)
piece of device-less silicon
A 2.5D IC integration is a TSV/RDL interposer system which consists off a piec
less
ess TSV
TS silicon (also called a
with TSVs, RDLs, and IC chips without TSVs. This piece of device-less
ity,
y, fine
passive interposer) is used to support the high-performance, high-density,
fine-pitch chips and has RDLs
hematica
ematic
(mainly) for lateral communication between the chips as shown schematically
in Figure 14. Figure 15
2]. It can be seen that even with more
shows a sample designed and fabricated by Altera/TSMC [41, 42].
ill
ll not enough
een
than 12 buildup layers (6-2-6) on the package substrate, it is still
to support the four sliced
n, a passive
pass
pas
28nm FPGA (field-programmable gate array) chips. In addition,
TSV interposer with 200,000+
ascene
scene layers and one aluminum layer) at a
microbumps on 45μm-pitch and four RDLs (three Cu damascene
minimum of 0.4μm-pitch is needed. This type of structure (Figure
(Figures 14 and 15) is called by TSMC as chip
d has been in small production for Xilinx since
on (interposer) wafer on (package) substrate (CoWoS) an
and
the early of 2013.
Interposer
TSV
Chip
C4 Bumps
Package
Substrate
Build-up Layers
Bui
Solder
Balls
Cu
Pillar
Solder
The package substrate is at least (6-2-6)
RDLs
Ac
ce
p
TSV
Interposerr
¾4 RDLs: 0.4μm-pitch line width and spacing
¾Each FPGA has >50,000 μbumps on 45μm pitch
¾Interposer is supporting >200,000 μbumps
Figure 15
5 Altera/TSMC’s
Altera
Altera/
chips on wafer on substrate (CoWoS) [42]
Si substrate
TSV
DRIE
SiO2
S
SiO2 by
thermal
oxidation
or PECVD
Strip resist
Photoresist
Photoresist
SiO2
Mask, Litho/
Patterning
SiO2 etch
Ti/Cu
or Ta/Cu
Cu Plating.
Then anneal at
400oC for 30m
Cu
SiO2
PECVD or SACVD
SiO2, PVD barrier
layer (Ti or Ta)
and seed layer
(Cu)
Cu TSV
Ti/Cu or Ta/Cu
CMP the
overburden
Cu, Cu seed
layer, and
barrier layer
Figure 16 TSV fabrication process flow
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
0.40μm
10.1μm
0.83μm
TSV
100μm
0.44μm
Co
py
ed
ite
d
(e1) Fabrication of TSVs
The fabrication process of TSVs for interposer is shown in Figure 16. The process starts with a SiNx/SiOx
insulation layer by either thermal oxidation or PECVD (plasma enhanced chemical vapor deposition) as
shown in Figure 16. After photoresist and TSV lithography, the TSV is etched into the Si substrate by
Bosch-type DRIE (deep reactive ion etch) [45] to form a high aspect ratio (10.5) via structure. The
etched TSV structure is then processed with a SiOx liner by SACVD (subatmosphere chemical vapor
deposition), a Ta barrier layer, and a Cu seed layer by PVD (physical vapor deposition) [17]. Cu
electroplating is used to fill the TSV structure. The final blind TSV has a top opening of approximately
10μm in diameter and a depth of about 105Pm, which gives an aspect ratio of 10.5. In such a high aspect
ratio via structure, a bottom-up plating mechanism is applied to ensure a seamless TS
TSV with a reasonably
low Cu thickness in the field.
rip
tN
8.67μm
Figure 17 SEM images of TSV
SV ccross sections [5]
nu
sc
The SEM cross-sectional images are shown in Figure
gure
re 117.
7. It can be seen that the diameter of the TSV is
slightly decreased at the bottom, which is expected
ected
ed fr
from the etching process. The Cu thickness at the
field is <5μm. The post plating anneal is at 400qC
0qC
C for 30
3 min. To complete the TSV process, excess Cu in
the field is removed by CMP [13].
Ac
ce
pt
ed
Ma
(e2) Fabrication of RDLs
There are at least two ways to fabricatee RDL
RDLs [1, 2, 3, 5]. The first method is by using polymers, such as
polyimide (PI) PWDC 1000 (Dow
benzocyclobutene (BCB) cyclotene 4024-40 (Dow
ow
w Corning),
Cor
Corn
Chemical), polybenzo-bisoxazolee (PBO)
(PBO HD-8930 (HD Micro Systems), and the fluorinated aromatic
AL-X 2010 (Asahi Glass Corporation)
oration)
ration to make the passivation layer and electroplating (such as Cu) to
make the metal layers. This method hhas been used by the OSAT (outsourced semiconductor assembly
(withou
withou using semiconductor equipment) for wafer-level (fan-in) chip scale
and test) to fabricate RDLs (without
ed wafe
wafer
package [134], embedded
wafer-level (fan-out) ball grid array package [143 - 147], and (fan-out)
ckage
age [148,
[[14 149]. The second method is the Cu damascene method, which is
redistribution chip package
rom
om the conventional
c
primarily modified from
semiconductor back-end-of-line to make the Cu metal RDLs
nF
Fig
such as those shown in
Figure 15. In general, much thinner structures (both dielectric layers and Cu
RDLs), finer pitches, smaller line-widths, and spacing can be obtained with the dual Cu damascene
method, which will be briefly stated in the following.
If starting with the wafer from Figure 16, the fabrication process of RDLs with a dual Cu damascene
technique is primarily based on the semiconductor back-end-of-line process. The details are shown in
Figure 18 and listed in the following [5]: (1) SiO2 layer by PECVD, (2) apply photoresist and mask, then
use photolithography techniques (align and expose) to open vias on the SiO 2, (3) RIE (reactive ion etch)
of SiO2, (4) strip off portion of the photoresist, (5) repeat step 3, (6) strip off the photoresist, (7) sputter
Ti and Cu and electroplate Cu over the entire wafer, (8) CMP the Cu and Ti/Cu and RDL1 is completed,
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
and (9) repeat step 1 through step 8 to complete RDL2 and any additional layers.
SiO2
TSV
(Cu)
SiO2
Mask. litho
TSV
Ta/Cu
or
Ti/Cu
Si
RIE of SiO2
SiO2
TSV
SiO2 by PECVD
TSV
Strip resist
TSV
Photoresist
TSV
TSV
Sputter Ti/Cu
and plate Cu
V01
RDL1
TSV
TSV
RDL2
DL2
DL1
RIE of SiO2
TSV
CMP Cu
and Ti/Cu
V01
ed
ite
d
Mask, litho
RDL1
V12
DL12
DL01
V01
TSV
py
Figure 18 Process flow for fabricating RDLs by dual Cu
u damascene
damasc
damas
ot
Co
SEM images of the RDL cross sections fabricated by the Cu damascene
cene
ene technique
tec
tech
are shown in Figure
RD
R
19. The minimum RDL line width is 3 μm. The thickness of RDL11 and RDL2
is 2.6 μm and of RDL3 is
1.3 μm. The passivation thickness between RDLs is 1 μm.
tN
UBM
RDL3
RDL2
V23
V12
RDL1
V01
nu
sc
rip
TSV
TU
TO
RDL3
RDL
V23
V
V12
RDL1
V01
TSV
Ma
RDL
2
d
Figure 19 SEM images of cross sections
sectio of RDLs fabricated by the Cu damascene method [5]
Ac
c
ep
te
(e3) Backside Processing and Assembly
sembl
The process flow of backside and aass
assembly [5] is shown in Figure 20. It can be seen that after the
fabrication of TSV, RDLs, passivation,
and UBM (under bump metallurgy), the topside of the interposer
assivation
sivat
UBM
Passivation
Si Substrate
RDL/Pass.
TSV
Interposer
Adhesive
Carrier #1
C
Interposer
Carrier #1
Backgrinding
Temporary
Bonding Carrier #1
Carrier #1
Carrier #1
Silicon Etching
Pass., and Cu
Revealing
Chip
Carrier #1
Carrier #1
UBM
Carrier #2
C4 Wafer Bumping
Chip
Chip
Chip
TSV
Wafer Micro
bumping &
dicing
Carrier #2
De-bonding
Carrier #1
Not-to-scale
Carrier #2
Chip-on-Wafer.
Underfill
Temporary
Bonding Carrier #2
De-bonding
Carrier #2 and
dicing
RDLs
Interposer
Package Substrate
Assemble the TSV module on package
substrate, then test. Underfill
Figure 20 Conventional process flow for chip on interposer wafer on package substrate [8]
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
wafer is temporary bonded to a carrier by adhesive. The next step is backgrinding the interposer wafer, Si
etching, low temperature passivation, and Cu revealing. Next, backside RDL (optional), UBM, and C4
(controlled collapse chip connection) wafer bumping are carried out. After that, the next step is to
temporary bond another carrier wafer to the backside (with solder bumps) and de-bond the first carrier
wafer. This step is followed by chip-on-wafer bonding and underfilling. After the whole (chip-on)
interposer wafer is completed, the next step is to de-bond the second carrier wafer and transfer the thin
interposer wafer with attached chips to a dicing tape for singulation. The individual TSV/RDL interposer
with chips is attached to the package substrate by natural reflow and then underfilled.
Support Carrier
Complete
SiO2 frontside
RDLs &
UBM
Si
Si
Ta/Cu
Support Carrier
Adhesive
TSV
Si
TSV
Adhesive
Si
Adhesive
TSV
CMP for
SiN/SiO2
buffing,
and barrier
and Cu
seed layers
polishing
Ta/Cu
Adhesive
ive
ve
Ti/Cu
Cu
Adhesive
Si
TSV
Si dry etch
(RIE) to a
few microns
below the
TSV
Support Carrier
Adhesive
Si
TSV
Ti/Cu
TSV
Cu
C
Solder
So
Sputter
Ti/Cu
RDLs
and
UBM
passivation
SiN/SiO2
tN
ot
Support Carrier
Strip
resist
a
and
e
et
etch
Ti/Cu
Support Carrier
rrier
Si
SiN/SiO2 SiO2
TSV
Cu
Solder
SiN/SiO2
Support Carrier
Photoresist,
Mask,
litho, Cu
plating,
solder
plating
ng
g
Support Carrier
Si
passivation
Temporary
bonded to
a carrier by
adhesive.
Backgrinding
to a few
microns to
the TSV
Low
temperature
SiN/SiO2
Adhesive
ite
d
TSV
Co
py
ed
RDLs and UBM
Not-to-Scale
No
rip
Figure 21 Backside Cu reveal and UBM/solder
UBM/so
BM/s
plating process flow
Ma
nu
sc
(e4) Cu Revealing
Figure 21 shows more details on Cu revealing. Right af
after the temporary bonding of the support carrier,
backgrinding the wafer to a few microns to thee tip oof TSVs, Si dry etching (by RIE) to a few microns
below the tip of TSVs, and low-temperature
passiving the SiN/SiO 2 are performed. Then, CMP for
ture
re pa
pass
d layers ppolishing are carried out. Cu revealing is completed and
SiN/SiO2 buffing and barrier and Cu seed
shown in Figure 22 [5]. These processess also aapp
apply to device TSV wafers.
SiO2
SiO2
Cu
TSV
Si
Si
Cu
TSV
Si
Ac
Si
Figure 22 TSV Cu revealing.
vealing
al
(L) Before dry etch of Si. (R) After Si dry etching, low-temperature SiN/SiO2,
and removal (CMP) of the isolation, barrier, and seed layer [5]
(f) Outlook of 2.5D/3D IC Integration
TSVs straight through the same memory chips, e.g., DRAMs to enlarge the memory capacity, increase
the bandwidth, lower the power consumption, lower the latency (enhance the electrical performance),
and reduce the form factor is the right thing to do and will be the major application of 3D IC integration.
Besides Intel, HMC samples with capacities of 4GB and 8GB have already shipped to server and chip
companies for testing. The first HMC modules will be used on FPGAs. HMC is targeted for high
performance computing, cloud computing, in-memory database, networking, energy, wireless
communications, transportation, security, and high-end servers and the potential customers are Intel,
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Altera, Fujitsu, Cray, Cisco, Huawei, Xilinx, HP, etc.
TSV/RDL interposer (2.5D IC integration) is in small volume manufacturing by Xilinx/TSMC for the
sliced FPGAs, which cannot be supported by the package substrate even with 12 build-up layers. Thus,
interposer is for very high performance, high density, high I/O, and fine-pitch applications such as
networking, communications, high-end servers, etc.
ed
ite
d
V. HVM SUPPLY CHAINS FOR TSVS AND MEOL
(a) Supply Chains before the TSV Era
Before the TSV era, the technology supply chains are very well defined and understood. Descriptions of
the various entities comprising the supply chain before the TSV era are presented below.
bel
el
py
(a1) FEOL (front-end-of-line)
This is the first portion of IC fabrication where the individual devices such as trans
transistors or resistors are
transis
patterned. This process is from a bare wafer to (but not including) the deposition
position
sition oof metal layers. FEOL
is usually performed in semiconductor fabrication plants (fabs).
tN
ot
Co
(a2) BEOL (back-end-of-line)
This is the fabrication in which active devices are interconnected with wir
wiring on the wafer. This process
starts from the first layer of metal to bonding pads with passivation.
ion.
on. IIt aalso includes insulators and metal
contacts and is called MOL (middle-of-the-line). The term “MOL”
MOL” is
i seldom used and embedded in the
BEOL. Again, BEOL is usually performed in the fabs.
sc
rip
(a3) OSAT (outsourced semiconductor assembly and tes
test)
te
t)
This term is also called packaging, assembly and test.
st. The pprocess starts when the passivated wafer is
received from the fab and then goes through circuitt probing
probing, bumping, thinning, dicing, wiring bonding,
probin
flip-chip, molding, ball mounting, final testing, and
ndd eetc.
tc.
Ma
nu
(b) Supply Chains for the TSV Era – Who Makes tthe TSV?
The following steps in the TSV fabrication
process impact the various considerations that must be
ion
n pro
proc
addressed:
pt
ed
(b1) TSVs Fabricated by the Via-First
rst Process
Proc
Pro
The TSVs are fabricated before thee FEOL
FEOL.
FEO
L This can only be done by the fab. However, even in the fab,
this seldom happens because the devices
evices ((e.g., transistors) are much more important than the TSVs.
Ac
ce
(b2) TSVs Fabricated by the
Via-Middle
Process
he Via
Via-M
The TSVs are fabricated right
after the FEOL (e.g., transistors) and MOL (e.g., metal contacts), and
ight aft
before the BEOL (e.g., metal
layers).
In this case, the MOL is no longer embedded in the BEOL because
etal la
lay
the TSV fabrication process
rocess is between them. Owing to logistics and equipment compatibilities, usually
the TSV by the via-middle
is done by the fab.
middle
ddle process
pr
p
(b3) TSVs Fabricated by the Via-Last (From the Frontside) Process
The TSVs are fabricated (from the frontside of the wafer) after the FEOL, MOL, and BEOL. As of today,
there is not a single creditable paper published with this process.
(b4) TSVs Fabricated by the Via-Last (From the Backside) Process
The TSVs are fabricated (from the backside of the wafer) after the FEOL, MOL, and BEOL process
flows. The CMOS image sensor is an example. Strictly speaking, CMOS image sensors are not examples
of 3D IC integration. For CMOS device sample wafers, the only creditable publication is given by LETI,
et al., [150]. However, because of technical issues, such as hitting the various embedded alignment
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
targets in the x-, y- and z-directions (to enable the alignment between the metal layers on the topside of
the wafer and the positioning of TSVs formed from the backside), TSVs fabricated by the via-last (from
the backside) process should be avoided until these issues are resolved.
Based on the above discussions, it seems that for active device wafers being used for 3D IC integration
applications, TSVs are better fabricated using the via-middle process. Also, the TSVs should be
fabricated by the fabs, where all the equipment and expertise already exist and the cost to fabricate the
TSVs is less than 5% of the cost in fabricating the (≤32nm) device wafers!
ed
ite
d
(b5) How About the Passive TSV Interposers?
When the industry defined the TSV processes for 3D IC integration, there were no pa
passive interposers yet.
Also, since there is no active device in the passive interposers, thus they don’t
n’t fi
fit into any of the
preceding!
Co
py
(b6) Who Wants to Fabricate the TSV for Passive Interposers?
Both the fab and OSAT want to do it! It depends on the layout, design,
ign,
gn, and fabrication capabilities,
especially the line width and spacing of the RDLs and the diameterr of th
the TSVs. Usually, for a few
microns of line width and spacing of the RDL and ≥ 5μm of TSV diameter
meter it can be done by the OSAT.
Otherwise, it should be done by the fabs.
sc
rip
tN
ot
(c) Supply Chains for the TSV Era - Who Does the MEOL??
For the thicknesses of memory-chip stacking and DRAMss in HMC
HM and HBM, and interposers under
consideration, all the TSVs fabricated are blind vias. The
he blind TSV wafer is followed by temporary
bonding, backgrinding, TSV revealing, thin wafer handling,
cleaning, solder bumping, etc.
ndlin
dling
ng,, debonding,
d
which, taken together, are called MEOL (middle-end-of-line).
Except for the vertically integrated
end
nd--of
offl
companies (e.g., TSMC and Samsung), it is better for the MEOL process flow to be performed by the
OSAT.
Ma
nu
Vss and MEOL
M
(d) Outlook of HVM Supply Chains for TSVs
For device wafers, the TSVs should be fabricated
bricated
ricated by the via-middle process and manufactured by the
fabs. For deviceless wafers, it depends on
n the lline
lin width/spacing of the RDLs and the diameter of the
TSVs. As to the MEOL, for both devicee and deviceless
wafers, it should be done by the OSAT.
dev
de
ce
pt
ed
VI. SUMMARY AND RECOMMENDATIONS
MEND
ENDAT
The overview, challenge, and outlook
tlook
ook oof 3D IC packaging, 3D IC integration, and 3D Si integration have
been presented and discussed. The fa
fabrication processes of TSVs and RDLs have also been mentioned.
fabr
ains
ns of T
Furthermore, the supply chains
TSVs and 3D IC integration at HVM have been examined. Some
mmendat
mendat
important results and recommendations
are summarized in the following.
Ac
orces fo
for consumer products such as smartphones, tablets, and wearables are cost,
¾ The driving forces
t. The cost-effective
co
cost, and cost.
3D IC packaging such as the stacked dies by wirebonding, PoP,
chip-to-chip interconnect,
and 3D fan-out embedded wafer-level packaging are just the right
erc
technologies for these products.
¾ The driving forces for high performance computing, cloud computing, networking, wireless
communications, high-end servers are performance and reliability. The considerable high-cost
2.5D/3D IC integrations such as memory chip stacking with TSVs, HMC, HBM, wide I/O 2, and
passive interposer are the right technologies for these products.
¾ For device wafers, the TSVs should be fabricated by the via-middle process and manufactured
by the fabs. For interposer wafers, if the diameter of the TSVs is ≥ 5μm and the line
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
width/spacing of the RDLs is ≥ 3μm then it can be manufactured by the fabs and OSAT,
otherwise it should be done by the fabs. However, since most interposers are for very high
performance, high density, and fine-pitch applications, thus the TSV diameters and RDLs line
width and spacing are most likely falling into the fabs’ territory.
¾ As to the MEOL, assembly, and test of both the TSV device and deviceless wafers they should
be performed by the OSAT except the vertical integrated companies such as TSMC and
Samsung. There are many important tasks in MEOL, assembly, and test, thus the ODAT should
strive to make themselves ready for a robust and high-yield manufacturing process.
ed
ite
d
VII. ACKNOWLEDEGMENTS
The author would like to thank his colleagues at Institute of Microelectronics,, Hong Kong University
M Paci
Pacifi
Science and Technology, Industrial Technology Research Institute, and ASM
Pacific Technology for
their stimulating and fruitful discussions.
Ac
ce
pt
ed
Ma
nu
sc
rip
tN
ot
Co
py
XIII. REFERENCES
ook Company,
Co
C
1. Lau, J. H., Through-Silicon Vias for 3D Integration, McGraw-Hill Book
NY, 2013.
nects
ects,, McGraw-Hill
M
2. Lau, J. H., Reliability of RoHS Compliant 2D & 3D IC Interconnects,
Book Company,
NY, 2011.
d MEM
MEMS Packaging, McGraw-Hill Book
3. Lau, J. H., C. K. Lee, C. S. Premachandran, A. Yu, Advanced
Company, NY, 2010.
egrated Circuits”, Electronics, Vol. 38, No. 8,
4. Gordon Moore, “Cramming More Components Onto Integrated
April 19, 1965.
Sai
5. Lau, J. H., P. Tzeng, C. Lee, C. Zhan, M. Li, J. Cline, K. Sait
Saito, Y. Hsin, P. Chang, Y. Chang, J. Chen, S.
an M. Kao, “Redistribution Layers (RDLs) for
Chen, C. Wu, H. Chang, C. Chien, C. Lin, T. Ku, R.. Lo, and
ournal of
o Microelectronic Packaging, Vol. 11, No. 1,
2.5D/3D IC Integration”, IMAPS Transactions, Journal
First Quarter 2014, pp. 16-24.
iconduct
condu
6. Lau, J. H., “The Future of Interposer for Semiconductor
IC Packaging”, Chip Scale Review, Vol. 18, No.
1, January-February, 2014, pp. 32-36.
Lau, “Energy Release Rate Estimation for Through Silicon
7. Hsieh, M. C., S. T. Wu, C. J. Wu, and J. H. Lau
actions
tions oon CPMT, Vol. 4, No. 1, January 2014, pp. 57-65..
Vias in 3-D Integration”, IEEE Transactions
-Volum Manufacturing of 3D IC Integration”, Chip Scale Review,
-Volume
8. Lau, J. H., “Supply Chains for High-Volume
013, ppp
Vol. 17, No. 1, January-February 2013,
pp. 33-39.
Krippe D. Pinjala, J. H. Lau, and T. Chuan, “3-D Packaging With
Kr
9. Khan, N., H. Li, S. Tan, S. Ho, V. Kripesh,
or Elect
Electri and Fluidic Interconnections”, IEEE Transactions on CPMT,
Through-Silicon Via (TSV) for
Electrical
3,, pp. 222
Vol. 3, No. 2, February 2013,
221-228.
ang,
ng, “E
“Eff
10. Lau, J. H., and G. Y. Tang,
“Effects of TSVs (through-silicon vias) on thermal performances of 3D
m-in
n-pack
IC integration system-in-package
(SiP)”, Journal of Microelectronics Reliability, Vo. 52, Issue 11,
p. 2660-2
2660
November 2012, pp.
2660-2669.
cent Advances
Ad
A
11. Lau, J. H., “Recent
and New Trends in Nanotechnology and 3D Integration for
ndust
Semiconductor Industry
Industry”,
The Electrochemical Society, ECS Transactions, 44 (1), 2012, pp.
805-825.
12. Chien, H. C., J. H. Lau, Y. Chao, R. Tain, M. Dai, S. T. Wu, W. Lo, and M. J. Kao, “Thermal
Performance of 3D IC Integration with Through-Silicon Via (TSV)”, IMAPS Transactions, Journal
of Microelectronic Packaging, Vol. 9, 2012, pp. 97-103.
13. Chen, J. C., J. H. Lau, P. J. Tzeng, S. Chen, C. Wu, C. Chen, H. Yu, Y. Hsu, S. Shen, S. Liao, C. Ho,
C. Lin, T. K. Ku, and M. J. Kao, “Effects of Slurry in Cu Chemical Mechanical Polishing (CMP) of
TSVs for 3-D IC Integration”, IEEE Transactions on CPMT, Vol. 2, No. 6, June 2012, pp. 956-963.
14. Lee, C. K., T. C. Chang, J. H. Lau, Y. Huang, H. Fu, J. Huang, Z. Hsiao, C. Ko, R. Cheng, P. Chang,
K. Kao, Y. Lu, R. Lo, and M. Kao, “Wafer Bumping, Assembly, and Reliability of Fine-Pitch
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
20.
21.
ed
ite
d
ed
Ac
25.
pt
24.
ce
23.
Ma
nu
sc
22.
py
19.
Co
18.
ot
17.
tN
16.
rip
15.
Lead-Free Micro Solder Joints for 3-D IC Integration”, IEEE Transactions on CPMT, Vol. 2, No. 8,
August 2012, pp. 1229-1238.
Sekhar, V. N., L. Shen, A. Kumar, T. C. Chai, X. Zhang, C. S. Premchandran, V. Kripesh, S. Yoon,
and J. H. Lau, “Study on the Effect of Wafer Back Grinding Process on Nanomechanical Behavior of
Multilayered Low-k Stack”, IEEE Transactions on CPMT, Vol. 2, No. 1, January 2012, pp. 3-12.
Zhang, X., R. Rajoo, C. S. Selvanayagam, A. Kumar, V. Rao, N. Khan, V. Kripesh, J. H. Lau, D.
Kwong, V. Sundaram, and R. R. Tummala, “Application of Piezoresistive Stress Sensor in Wafer
Bumping and Drop Impact Test of Embedded Ultrathin Device”, IEEE Transactions on CPMT, Vol.
2, No. 16, June 2012, pp. 935-943.
Wu, C., S. Chen, P. Tzeng, J. H. Lau, Y. Hsu, J. Chen, Y. Hsin, C. Chen, S. Shen, C. Lin, T. Ku, and
M. Kao, “Oxide Liner, Barrier and Seed Layers, and Cu-Plating of Blind T
Through Silicon Vias
(TSVs) on 300mm Wafers for 3D IC Integration”, IMAPS Transactions, Journal
urnal
nal of Microelectronic
Packaging, Vol. 9, No. 1, First Quarter 2012, pp. 31-36.
ntegratio System-in-Package
ntegration
Lau, J. H., M. S. Zhang, and S. W. R. Lee, “Embedded 3D Hybrid IC Integration
(SiP) for Opto-Electronic Interconnects in Organic Substrates”, ASME
Transactions, Journal of
SME
ME Tr
Tra
Electronic Packaging, Vol. 133, September 2011, pp. 1-7.
Hoe Y
Chai, T. C., X. Zhang, J. H. Lau, C. S. Selvanayagam, D. Pinjala, Y. Hoe,
Y. Ong, V. Rao, E. Wai, H.
avi, C. Vath, III, and Y. Tsutsumi,
Li, E. Liao, N. Ranganathan, V. Kripesh, S. Liu, J. Sun, M. Ravi,
ackage w
“Development of Large Die Fine-Pitch Cu/low-k FCBGA Package
with through Silicon via (TSV)
ay 2011,
20 pp. 660-672.
Interposer”, IEEE Transactions on CPMT, Vol. 1, No. 5, May
ntegr
teg ator
t for 3D IC Integration”, Chip Scale
Lau, J. H., “TSV Interposers: The Most Cost-Effective Integrator
Review, Vol. 15, No. 5, Sept/Oct, 2011, pp. 23-27.
Kumar, A., X. Zhang, Q. Zhang, M. Jong, G. Huang, V. Lee, V. Kripesh, C. Lee, J. H. Lau, D. Kwong,
Resi
Resid
R
V. Sundaram, R. R. Tummula, and G. Meyer-Berg,, “Residual
Stress Analysis in Thin Device Wafer
saction
action on CPMT, Vol. 1, No. 6, June 2011, pp.
Using Piezoresistive Stress Sensor”, IEEE Transactions
841-851.
n, W. Lee,
Lee
Le M. Jong, V. Sekhar, V. Kripesh, D. Pinjala, S.
Yu, A., J. H. Lau, S. Ho, A. Kumar, W. Hnin,
g, and C.
C Chen, “Fabrication of High Aspect Ratio TSV and
Chen, C. Chan, C. Chao, C. Chiu, C. Huang,
older Microbump for Si Interposer Technology with
Assembly with Fine-Pitch Low-Cost Solder
ansac
High-Density Interconnects”, IEEE Transacti
Transactions
on CPMT, Vol. 1, No. 9, September 2011, pp.
1336-1344.
O X. Zhang, V. Kripesh, S. Yoon, J. H. Lau, Y. Lim, D.
Ong, Y. Y., S. Ho, V. Sekhar, X. Ong, J. Ong,
and
dD
D. S
Yeo, K. Chan, Y. Zhang, J. Tan,, an
Sohn, “Underfill Selection, Characterization, and Reliability
ow Flip Chip Package”, IEEE Transactions on CPMT, Vol. 1,
Study for Fine-Pitch, Large Diee Cu/L
Cu/Low-K
-290.
90.
No. 3, March 2011, pp. 279-290.
ndd O
Outl
u
Lau, J. H., “Overview and
Outlook
of TSV and 3D Integrations”, Journal of Microelectronics
2011 pp. 8-22.
20
International, V.28, No.. 2, 2011,
-J Tzeng
Lau, J. H., C-J Zhan, P-J
Tzeng, C-K Lee, M-J Dai, H-C Chien, Y-L Chao, W. Li, S-T Wu, J-F Hung,
Hs
R-M Tain, C-H Lin,, Y-C H
Hsin, C-C Chen, S-C Chen, C-Y Wu, J-C Chen, C-H Chien, C-W Chiang, H
Ch
Chang, W-L Tsai,, R-S C
Cheng, S-Y Huang, Y-M Lin, T-C Chang, C-D Ko, T-H Chen, S-S Sheu, S-H
Lo T-K Ku, M-J Kao, and D-Q Hu, “Feasibility Study of a 3D IC Integration
Wu, Y-H Chen, W-C L
Lo,
System-in-Packaging
ng (SiP) from a 300mm Multi-Project Wafer (MPW)”, IMAPS Transactions,
Journal of Microelectronic Packaging, Vol. 8, No. 4, Fourth Quarter 2011, pp. 171-178.
Sheu, S., Z. Lin, J. Hung,, J. H. Lau, P. Chen, S. Wu, K. Su, C. Lin, S. Lai, T. Ku, W. Lo, M. Kao, “An
Electrical Testing Method for Blind Through Silicon Vias (TSVs) for 3D IC Integration”, IMAPS
Transactions, Journal of Microelectronic Packaging, Vol. 8, No. 4, Fourth Quarter 2011, pp.
140-145.
Lau, J. H., “Critical Issues of 3D IC Integrations”, IMAPS Transactions, Journal of Microelectronics
and Electronic Packaging, First Quarter Issue, 2010, pp. 35-43.
26.
27.
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Ac
ce
pt
ed
Ma
nu
sc
rip
tN
ot
Co
py
ed
ite
d
28. Lau, J. H., Y. S. Chan, and R. S. W. Lee, “3D IC Integration with TSV Interposers for
High-Performance Applications”, Chip Scale Review, Vol. 14, No. 5, September/October, 2010, pp.
26-29.
29. Lau, J. H., “Design and Process of 3D MEMS Packaging”, IMAPS Transactions, Journal of
Microelectronics and Electronic Packaging, First Quarter Issue, 2010, pp. 10-15.
30. Lau, J. H., Lee, R., Yuen, M., and Chan, P., “3D LED and IC Wafer Level Packaging”, Journal of
Microelectronics International, Vol. 27, Issue 2, 2010, pp. 98-105.
31. Lau, J. H., “State-of-the-art and Trends in 3D Integration”, Chip Scale Review, Vol. 14, No. 2,
March/April, 2010, pp. 22-28.
32. Tang, G. Y., S. Tan, N. Khan, D. Pinjala, J. H. Lau, A. Yu, V. Kripesh, and K. Toh, “Integrated Liquid
CP
P
Cooling Systems for 3-D Stacked TSV Modules”, IEEE Transactions on CPMT,
Vol. 33, No. 1,
March 2010, pp. 184-195.
an, T. C
C. Chai, V. Kripesh,
33. Khan, N., V. Rao, S. Lim, H. We, V. Lee, X. Zhang, E. Liao, R. Nagarajan,
and J. H. Lau, “Development of 3-D Silicon Module With TSV for System in Packaging”, IEEE
Transactions on CPMT, Vol. 33, No. 1, March 2010, pp. 3-9.
ation
ion with
wi TSV (Through Silicon
34. Lau, J. H., and Tang, G., “Thermal Management of 3D IC Integration
5-640.
640.
Via)”, IEEE Proceedings of ECTC, San Diego, May 2009, pp. 635-640.
Yoon
oon,
n, and
an J. H. Lau, “Fabrication of
35. Yu, A., N. Khan, G. Archit, D. Pinjala, K. Toh, V. Kripesh, S. Yoon,
Silicon Carriers With TSV Electrical Interconnections and Embedde
Embedded Thermal Solutions for High
2, No
No. 33, September 2009, pp. 566-571.
Power 3-D Packages”, IEEE Transactions on CPMT, Vol. 32,
Vaid
yana
36. Selvanayagam, C., J. H. Lau, X. Zhang, S. Seah, K. Vaidy
Vaidyanathan,
and T. C. Chai, “Nonlinear
V (Through
(Throu Silicon Via) and Their Flip-Chip
(Thro
Thermal Stress/Strain Analyses of Copper Filled TSV
107
Microbumps”, IEEE ECTC Proceedings, 2008, pp. 1073-1081.
Also, IEEE Transactions on
009,
09 pp.
pp 720-728.
Advanced Packaging, Vol. 32, No. 4, November 2009,
H
Ho C. H. Khong, V. Kripesh, J. H. Lau, D.-L.
37. Zhang, X., A. Kumar, Q. X. Zhang, Y. Y. Ong, S. W. Ho,
rg
g Mey
Meye
Kwong, V. Sundaram, Rao R. Tummula, Georg
Meyer-Berg, “Application of Piezoresistive Stress
Charac
Sensors in Ultra Thin Device Handling and Charact
Characterization,” Journal of Sensors & Actuators: A.
Physical, Vol. 156, Nov. 2009, pp. 2-7.
grations”
ation IMAPS Transactions, Journal of Microelectronics
38. Lau, J. H., “Critical Issues of 3D IC Integrations”,
er Issue,
Issue 2010, pp. 35-43.
and Electronic Packaging, First Quarter
en,
n, J. W
39. Lau, J. H., R. S. W Lee, M. Yuen,
Wu, C. Lo, H. Fan, and H. Chen, “Apparatus having
ve 3D IIC integration structure with through silicon via interposer”.
thermal-enhanced and cost-effective
Patent
US Patent No: 8,604,603, Date off Paten
Patent: December 10, 2013, Filed on February 20, 2009.
minatha
natha n System-On-Package: Miniaturization of the Entire System,
40. Tummula, R., and M. Swaminathan,
McGraw-Hill, NY, 2008.
i, A. R
41. Xie, J., H. Shi, Y. Li, Z. Li,
Rahman, K. Chandrasekar, D. Ratakonda, M. Deo, K. Chanda, V.
drahalli,
rahal D. Ibbotson, and T. Verma, “Enabling the 2.5D Integration”,
Hool, M. Lee, N. Vodrahalli,
Proceedings of IMAPS
PS
S International
Interna
Symposium on Microelectronics, September 2012, San Diego,
CA, pp. 254-267.
42. Li, Z., H. Shi, J. Xie, and
an A. Rahman, “Development of an Optimized Power Delivery System for
3D IC Integration
on with TSV Silicon Interposer”, Proceedings of IEEE/ECTC, San Diego, CA, May
2012, pp. 678-682.
43.Kwon, W., M. Kim, J. Chang, S. Ramalingam, L. Madden, G. Tsai, S. Tseng, J. Lai, T. Lu, and S.
Chin, “Enabling a Manufacturable 3D Technologies and Ecosystem using 28nm FPGA with Stack
Silicon Interconnect Technology”, IMAPS Proceedings of International Symposium on
Microelectronics, October 2013, pp. 217-222.
44.Juergen, M., Wolf, K. Zoschke, A. Klumpp, R. Wieland, M. Klein, L. Nebrich, A. Heinig, I. Limansyah,
W. Weber, O. Ehrmann, and H. Reichl, “3D Integration of Image Sensor SiP using TSV Silicon
Interposer”, IEEE Proceedings of EPTC, December 2009, pp. 795-800.
45.Hsin, Y. C., C. Chen, J. H. Lau, P. Tzeng, S. Shen, Y. Hsu, S. Chen, C. Wn, J. Chen, T. Ku, and M. Kao,
“Effects of Etch Rate on Scallop of Through-Silicon Vias (TSVs) in 200mm and 300mm Wafers”,
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Ac
ce
pt
ed
Ma
nu
sc
rip
tN
ot
Co
py
ed
ite
d
IEEE ECTC Proceedings, Orlando, Florida, June 2011, pp. 1130-1135.
46.Garrou, P., C. Bower, and P. Ramm, 3D Integration: Technology and Applications, Wiley, John &
Sons, 2009.
47.Ramm, P., M. Wolf, A. Klumpp, R. Wieland, B. Wunderle, B. Michel, and H. Reichl, “Through Silicon
Via Technology – Processes and Reliability for Wafer-Level 3D System Integration,” IEEE ECTC
Proceedings, Orlando, FL, May 2008, pp. 847-852.
48.Andry, P. S., Tsang, C. K., Webb, B. C., Sprogis E. J., Wright S. L., Bang, B., Manzer, D. G.,
“Fabrication and characterization of robust through-silicon vias for silicon-carrier applications,” IBM
Journal of Research and Development,, Vol. 52, No. 6, 2008, pp. 571-581.
49.Knickerbocker, J. U., P.S. Andry, B. Dang, R.R. Horton, C S. Patel, R.J. Polastre, K. Sakuma, E.S.
Sprogis, C.K. Tsang, B.C. Webb, and S.L. Wright “3-D silicon integration,” IIEEE Proceedings of
ECTC, May 2008, pp. 538-543.
Wagner “Development of
50. Shorey, Aric, Scott Pollard, Alex Streltsov, Garrett Piech, and Robert Wagner,
ceeding of IEEE/ECTC, San
ceedings
Substrates for Through Glass Vias (TGV) For 3DS-IC Integration”, Proceedings
Diego, CA, May 2012, pp. 289-291.
ala,
a, and Yuya
Y
51. Sundaram, Venky, Qiao Chen, Gokul Kumar, Fuhan Liu, Rao Tummala,
Suzuki, “Low-Cost
-Memory
Memo Interconnections without
and Low-Loss 3D Silicon Interposer for High Bandwidth Logic-to-Memory
A, May 2012, pp. 292-297.
TSV in the Logic IC”, Proceedings of IEEE/ECTC, San Diego, CA,
ower ddelivery network design for 3D
52.Lee, H. S., Choi, Y-S., Song, E., Choi, K., Cho, T., Kang, S., “Po
“Power
dings of ECTC, Reno, NV, May 2007, pp.
SIP integrated over silicon interposer platform,” IEEE Proceedings
1193-1198.
sen, I. Wolf,
W
53. Messemaeker, J., O. Pedreira, B. Vandevelde, H. Philipsen,
E. Beyne, and K. Croes, “Impact
of Post-Plating Anneal and Through-Silicon Via Dimensi
Dimensions on Cu Pumping”, Proceedings of
591.
91
IEEE/ECTC, Las Vegas, NV, May 2013, pp. 586-591.
akuishi,
uishi, A. Suzuki, and K. Suu, “Total Cost Effective
54. Morikawa, Y., T, Murayama, Y. Nakamuta, T. Sakuishi,
SV F
Fab
Scallop Free Si Etching for 2.5D & 3D TSV
Fabrication Technologies in 300mm Wafer”,
220 pp. 605-607.
Proceedings of IEEE/ECTC, Las Vegas, NV,, May 2013,
Leun Y. Liu, C. Chung, S. Ma, M. Miao, and Y. Jin,
55.Shi, X., P. Sun, Y. Tsui, P. Law, S. Yau, C. Leung,
atible
ble Interconnect
In
Int
“Development of CMOS-Process-Compatible
Technology for 3D-Stacking of NAND
gss of EC
Flash Memory Chips”, IEEE Proceedings
ECTC, June 2010, Las Vegas, NV, pp. 74-78.
56.Kikuchi, K., C. Ueda, K. Takemura, O. Shim
Shimada, T. Gomyo, Y. Takeuchi, T. Ookubo, K. Baba, M.
Low-I
Low-Im
Aoyagi, T. Sudo, and K. Otsuka, “Low-Impedance
Evaluation of Power Distribution Network for
Interp
Decoupling Capacitor Embedded Interpos
Interposers of 3-D Integrated LSI System”, IEEE Proceedings of
V, pp.
pp 11455-1460.
ECTC, June 2010, Las Vegas, NV,
ndaram,
daram V.
V Sukumaran, S. Hwang, H. Chan, F. Liu, C. Nopper* and R.
57.Sridharan, V., S. Min, V. Sundaram,
rication
cation oof Bandpass Filters in Glass Interposer with Through-Package-Vias
Tummala, “Design and Fabrication
gss of E
ECT
(TPV)”, IEEE Proceedings
ECTC, June 2010, Las Vegas, NV, pp. 530-535.
en,
n, F. Li
58.Sukumaran, V., Q. Chen,
Liu, N. Kumbhat, T. Bandyopadhyay, H. Chan, S. Min, C. Nopper, V.
Sundaram, and R. Tummala,
Formation and Metallization of Glass Interposers”,
mmala, “Through-Package-Via
mmala
“
ECTC
IEEE Proceedings of ECT
ECTC, June 2010, Las Vegas, NV, pp. 557-563.
ueoka1,
eoka1 S. Kohara, K. Matsumoto, H. Noma, T. Aoki, Y. Oyama, H. Nishiwaki, P.S.
59.Sakuma, K., K. Sueoka1,
Andry, C.K. Tsang, J.
J Knickerbocker, and Y. Orii, “IMC Bonding for 3D Interconnection”, IEEE
Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 864-871.
60.Doany, F., B. Lee, C. Schow, C. Tsang, C. Baks, Y. Kwark, R. John, J. Knickerbocker, J. Kash,
“Terabit/s-Class 24-Channel Bidirectional Optical Transceiver Module Based on TSV Si Carrier for
Board-Level Interconnects”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 58-65.
61.Khan, N., D. Wee, O. Chiew, C. Sharmani, L. Lim, H. Li, and S. Vasarala, “Three Chips Stacking with
Low Volume Solder Using Single Re-Flow Process”, IEEE Proceedings of ECTC, June 2010, Las
Vegas, NV, pp. 884-888.
62.Trigg, A., L. Yu, X. Zhang, C. Chong, C. Kuo, N. Khan, and D. Yu, “Design and Fabrication of a
Reliability Test Chip for 3D-TSV”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 79-83.
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Ma
nu
sc
rip
tN
ot
Co
py
ed
ite
d
63.Agarwal, R., W. Zhang, P. Limaye, R. Labie, B. Dimcic, A. Phommahaxay, and P. Soussan, “Cu/Sn
Microbumps Interconnect for 3D TSV Chip Stacking”, IEEE Proceedings of ECTC, June 2010, Las
Vegas, NV, pp. 858-863.
64.Töpper, M., I. Ndip, R. Erxleben, L. Brusberg, N. Nissen, H. Schröder, H. Yamamoto, G. Todt, H.
Reichl, “3-D Thin Film Interposer Based on TGV (Through Glass Vias): An Alternative to
Si-Interposer”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 66-73.
65.Liu, H., K. Wang, K. Aasmundtveit, and N. Hoivik, “Intermetallic Cu3Sn as Oxidation Barrier for
Fluxless Cu-Sn Bonding”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 853-857.
66.Kang, I., G. Jung, B. Jeon, J. Yoo, and S. Jeong, “Wafer Level embedded System in Package (WL-eSiP)
for Mobile Applications”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 309-315.
ro
67.Dorsey, P., “Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough
FPGA Capacity,
Bandwidth, and Power Efficiency”, Xilinx White Paper: Virtex-7 FPGAs, WP380
P380 (v1) October 27,
2010, pp. 1-10.
R Cheng, Y. Huang,
68.J. H. Lau, C. Lee, C. Zhan, S. Wu, Y. Chao, M. Dai, R. Tain, H. Chien, C.. Chien, R.
Y. Lee, Z. Hsiao, W. Tsai, P. Chang, H. Fu, Y. Cheng, L. Liao, W. Lo,, and M.
M Kao, “Low-Cost TSH
ngs
gs of IEEE/ECTC,
I
IE
(Through-Silicon Hole) Interposers for 3D IC Integration”, Proceedings
Orlando, FL,
May 2014, pp. 290-296.
he IEEE,
IEEE Vol. 74, No. 12, December
69.Akasaka, Y., “Three-dimensional IC Trends”, Proceedings of the
1986, pp. 1703-1714.
hnolo
hnologie
70.Akasaka, Y., and Nishimura, T., “Concept and Basic Technologies
for 3-D IC Structure”, IEEE
o. 32,
332
22, 1986,
19 pp. 488-491.
Proceedings of International Electron Devices Meetings, Vo.
in, Y., J. Lu, A. Young, M., Ieong, and W.
71.Chen, K., S. Lee, P. Andry, C. Tsang, A. Topop, Y. Lin,
Haensch, W., “Structure, Design and Process Control for Cu Bonded Interconnects in 3D Integrated
lect
ectron Devices Meeting, (IEDM 2006), San
Circuits”, IEEE Proceedings of International Electron
70..
Francisco, CA, December 11-13, 2006, pp. 367-370.
., Shi, L.,
L Chen, K., Li, X., Dipaola, D., Brown, D.,
72.Liu, F., Yu, R., Young, A., Doyle, J., Wang, X.,
Kly
Ryan, C., Hagan, J., Wong, K., Lu, M., Gu, X.,., Klym
Klymko, N., Perfecto, E., Merryman, A., Kelly K.,
ieff,
ff, R
Purushothaman, S., Koester, S., Wisnieff,
R., and Haensch, W., “A 300- Wafer-Level
Using Tungsten Through-Silicon Via and Hyprid
Three-Dimensional Integration Schemee Usin
ngs
gs of IIE
Cu-Adhesive Bonding”, IEEE Proceedings
IEDM, December 2008, pp. 1-4..
X., Shi, L., Perfecto, E., Klymko, N., Chace, M., Shaw, T.,
73.Yu, R., Liu, F., Polastre, R., Chen, K., Liu, X
Purusho
Dimilia, D., Kinser, E., Young, A., Purushot
Purushothaman, S., Koester, S., and Haensch W., “Reliability of a
gy Ba
Bas
300-mm-compatible 3DI Technology
Base on Hybrid Cu-adhesive Wafer Bonding”, Proceedings of
Diges
Symposium on VLSI Technologyy Dige
Digestt oof Technical Papers, 2009, pp. 170-171.
a, K., and
an Suga, T., “Bumpless Interconnect of 6-um pitch Cu Electrodes
74.Shigetou, A. Itoh, T., Sawada,
EEE Proceedings
Pro
P
at Room Temperature”, In IEEE
of ECTC, Lake Buena Vista, FL, May 27-30, 2008, pp.
1405-1409.
igurashi,
gurashi, and T. Suga, “Evaluation of Surface Microroughness for Surface
75.Tsukamoto, K., E. Higurashi,
Activated Bonding”, Proceed
Procee
Proceedings of IEEE CPMT Symposium Japan, August 2010, , pp. 147-150.
76.Kondou, R., C. Wang, and
T. Suga, “Room-temperature Si-Si and Si-SiN wafer bonding”,
a
Proceedings of IEEE
EE
E CPMT
C
CPM Symposium Japan, August 2010, , pp. 161-164.
77.Shigetou, A. Itoh, T., M
Matsuo, M., Hayasaka, N., Okumura, K., and Suga, T., “Bumpless Interconnect
Through Ultrafine Cu Electrodes by Mans of Surface-Activated Bonding (SAB) Method”, IEEE
Transaction on Advanced Packaging, Vol. 29, No. 2, May 2006, pp. 226.
78.Wang, C., and Suga, T., “A Novel Moire Fringe Assisted Method for Nanoprecision Alignment in
Wafer Bonding”, In IEEE Proceedings of ECTC, San Diego, CA, May 25-29, 2009, pp. 872-878.
79.Wang, C., and Suga, T., “Moire Method for Nanoprecision Wafer-to-Wafer Alignment: Theory,
Simulation and Application”, IEEE Proceedings of Int. Conference on Electronic Packaging
Technology & High Density Packaging, August 2009, pp. 219-224.
80.Higurashi, E., Chino, D., Suga, T., and Sawada, R., “Au-Au Surface-Activated Bonding and Its
Application to Optical Microsensors with 3-D Structure”, IEEE Journal of Selected Topic in Quantum
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Ac
ce
pt
ed
Ma
nu
sc
rip
tN
ot
Co
py
ed
ite
d
Electronics, Vol. 15, No. 5 September/October 2009, pp. 1500-1505.
81.Burns, J., Aull, B., Keast, C., Chen, C., Chen, C. Keast, C., Knecht, J., Suntharalingam, V., Warner, K.,
Wyatt, P., and Yost, D., “A Wafer-Scale 3-D Circuit Integration Technology”, IEEE Transactions on
Electron Devices, Vol. 53, No. 10, October 2006, pp. 2507-2516.
82.Chen, C., Warner, K., Yost, D., Knecht, J., Suntharalingam, V., Chen, C., Burns, J., and Keast, C.,
“Sealing Three-Dimensional SOI Integrated-Circuit Technology”, IEEE Proceedings of Int. SOI
Conference, 2007, pp. 87-88.
83.Chen, C., Chen, C., Yost, D., Knecht, J., Wyatt, P., Burns, J., Warner, K., Gouker, P., Healey, P.,
Wheeler, B., and Keast, C., “Three-dimensional integration of silicon-on-insulator RF amplifier”,
Electronics Letters, Vol. 44, No. 12, June 2008, pp. 1-2.
84.Chen, C., Chen, C., Yost, D., Knecht, J., Wyatt, P., Burns, J., Warner, K., Gou
Gouker, P., Healey, P.,
tor RF A
Wheeler, B., and Keast, C., “Wafer-Scale 3D Integration of Silicon-on-Insulator
Amplifiers”, IEEE
Proceedings of Silicon Monolithic IC in RF Systems, 2009, pp. 1-4.
Heale P., and Keast, C,
Hea
85.Chen, C., Chen, C., Wyatt, P., Gouker, P., Burns, J., Knecht, J., Yost, D., Healey,
gs of VLS
VL
“Effects of Through-BOX Vias on SOI MOSFETs”, IEEE Proceedings
VLSI Technology, Systems
and Applications, 2008, pp. 1-2.
hibles,
ibles, D
86.Chen, C., Chen, C., Burns, J., Yost, D., Warner, K., Knecht, J., Shibles,
D., and Keast, C, “Thermal
Effects of Three Dimensional Integrated Circuit Stacks”, IEEE Proceed
Proceedings of Int. SOI Conference,
2007, pp. 91-92.
Kn
87.Aull, B., Burns, J., Chen, C., Felton, B., Hanson, H., Keast,, C., Knecht,
J., Loomis, A., Renzi, M.,
st D., and Young, D., “Laser Radar
Soares, A., Suntharalingam, V., Warner, K., Wolfson, D.,, Yo
Yost,
che Pho
Imager Based on 3D Integration of Geiger-Mode Avalanche
Photodiodes with Two SOI Timing Circuit
Confe
Layers”, IEEE Proceedings of Int. Solid-State Circuits Confer
Conference, 2006, pp. 16.9.
JJones,
one B., Acosta, E., Charlet, B., Enot, T.,
88.Chatterjee, R., Fayolle, M., Leduc, P., Pozder, S.,, Jo
Mai
Heitzmann, M., Zussy, M., Roman, A., Louveau, O., Ma
Maitreqean, S., Louis, D., Kernevez, N., Sillon,
a,, S., Sp
N., Passemard, G., Pol, V., Mathew, V., Garcia,
Sparks, T., and Huang, Z., “Three dimensional
n”,, IEEE
IEE Proceedings of IITC, 2007, pp. 81-83.
chip stacking using a wafer-to-wfer integration”,
Char
Ch
89.Ledus, P., Crecy, F., Fayolle, M., Fayolle, M., Charlet,
B., Enot, T., Zussy, M., Jones, B., Barbe, J.,
Lou
Kernevez, N., Sillon, N., Maitreqean, S.,, Louis
Louis, D., and Passemard, G., “Challenges for 3D IC
rmal
mal m
ma
integration: bonding quality and thermal
management”, IEEE Proceedings of IITC, 2007, pp.
210-212.
Gillot, C., Mathewson, A., Cioccio, L., Charlet, B., Leduc, P.,
90.Poupon, G., Sillon, N., Henry, D.,, Gillot
tem
m on W
Vinet, M., and Batude, P., “System
Wafer: A New Silicon Concept in Sip”, Proceedings of the
09, pp.
ppp 60-69.
IEEE, Vol. 97, No. 1, January 2009,
Kitada Y. Kim. A. Kawai, K. Arai, T. Nakamura, K. Suzuki, and T.
91.Fujimoto, K., N. Maeda, H. Kitada,
ulti-Sta
-St
Ohba, “Development of Multi
Multi-Stack
Process on Wafter-on-Wafer (WoW)”, Proceedings of IEEE
Augu 2010, , pp. 157-160.
CPMT Symposium Japan,, August
an,
n, L., W
92. Lee, C., Yu, A., Yan,
Wang, H., Han, J., Zhang, Q., and Lau, J. H., “Characterization of
g Layers
Layer of Low Temperature Fluxless Solder Based Wafer Bonding for MEMS
Intermediate In/Ag
rnal
nal of Se
SSensors and Actuators A, Vol. 154, 2009, pp. 85-91.
Packaging.” Journal
ee,, L
L. Y
93. Yu, D. Q., C. Lee,
Yan, M. Thew, and J. H. Lau, “Characterization and reliability study of low
i wafer level bonding using In/Sn interlayer and Cu/Ni/Au metallization,”
temperature hermetic
Journal of Alloys and Compounds, Vol. 485, 2009, pp. 444–450.
94. Yu, D. Q., C. Lee, L. L. Yan, W. K. Choi, A. Yu, A., and J. H. Lau, “The Role of Ni Buffer Layer on
High Yield Low Temperature Hermetic Wafer Bonding Using In/Sn/Cu Metallization.” Applied
Physics Letters, Vol. 94, Issue 3. 2009, pp. 1-3.
95.Reed, J., M. Lueck, C. Gregory, A. Huffman, J. Lannon, Jr., and D. Temple, “High Density
Interconnect at 10μm Pitch with Mechanically Keyed Cu/Sn-Cu and Cu-Cu Bonding for 3-D
Integration”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 846-852.
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Ac
ce
pt
ed
Ma
nu
sc
rip
tN
ot
Co
py
ed
ite
d
96.Okoro, C., R. Agarwal, P. Limaye, B. Vandevelde, D. Vandepitte, E. Beyne1, “Insertion Bonding: A
Novel Cu-Cu Bonding Approach for 3D Integration”, IEEE Proceedings of ECTC, June 2010, Las
Vegas, NV, pp. 1370-1375.
97.Huyghebaert, C., J. Olmen, O. Chukwudi, J. Coenen, A. Jourdain, M. Cauwenberghe, R. Agarwahl, R.,
A. Phommahaxay, M. Stucchi and P. Soussan., “Enabling 10μm Pitch Hybrid Cu-Cu IC Stacking with
Through Silicon Vias”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 1083-1087.
98.Cioccioa, L., P. Gueguena, E. Grouillera, L. Vandrouxa, V. Delayea, M. Rivoireb, J. Lugandb, L.
Claveliera, “Vertical Metal Interconnect Thanks to Tungsten Direct Bonding”, IEEE Proceedings of
ECTC, June 2010, Las Vegas, NV, 1359-1363.
99.Gueguena, P., L. Cioccioa, P. Morfoulib, M. Zussya, J. Dechampa, L. Ballya, L. Claveliera, “Copper
Direct Bonding: An Innovative 3D Interconnect”, IEEE Proceedings of ECTC, Ju
June 2010, Las Vegas,
NV, pp. 878-883.
champb R. Fortunierc, L.
champb,
100. Taibi, R., L. Ciocciob, C. Chappaz, L. Chapelon, P. Gueguenb, J. Dechampb,
ration” IIEEE Proceedings of
ration”,
Clavelierb, “Full Characterization of Cu/Cu Direct Bonding for 3D Integration”,
ECTC, June 2010, Las Vegas, NV, pp. 219-225.
u-Cu
-Cu Bon
B
101. Lim, D., J. Wei, C. Ng, and C. Tan, “Low Temperature Bump-less Cu-Cu
Bonding Enhancement with
ion”,
n”, IEEE
IE
IEE
Self Assembled Monolayer (SAM) Passivation for 3-D Integration”,
Proceedings of ECTC,
June 2010, Las Vegas, NV, pp. 1364-1369.
“W
102. Yu, D. Q., Y. Li, C. Lee, W. Choi, S. Thew, C. Foo, and J. H.. Lau, “Wafer-Level
Hermetic Bonding
ons
ns on C
Using Sn/In and Cu/Ti/Au Metallization”, IEEE Transactions
CPMT, Vol. 32, No. 4, December
2009, pp. 926-934.
103. Campbell, D., “Process Characterization Vehicles for 3D Inte
Integration”, IEEE Proceedings of ECTC,
June 2010, Las Vegas, NV, pp. 1112-1116.
104. Made, R., C. Gan, L. Yan, A. Yu, S. Yoon, J. H. Lau
Lau, and C. Lee, “Study of low temperature
or packaging
pack
thermocompression bonding in Ag-In solder for
applications”, Journal of Electronic
Materials, Vol. 38, No. 2, 2009, pp. 365-371.
ao,, W. S
105. Pang, X., T. T. Chua, H. Y. Li, E.B. Liao,
S. Lee, and F. X. Che, “Characterization and
Patter Densities in 3D Integration Technology”, IEEE
Management of Wafer Stress for Various Pattern
gas, NV
N
Proceedings of ECTC, June 2010, Las Vegas,
NV, pp. 1866-1869.
C
106. Yan, L. L., C. K. Lee, D. Q. Yu, A. Yu,, W. Ch
Choi, J. H. Lau, and S. Yoon, “A Hermetic Seal Using
Intermed
nterme
Composite Thin Solder In/Sn as Intermediate
Layer and Its Interdiffusion Reaction with Cu”,
Journal of Electronic Materials, Vol. 38, N
No. 2, 2009, pp. 200-207.
R Polastre, R. Trzcinski, A. Prabhakar, and J. Knickerbocker,
107. Dang, B., P. Andry, C. Tsang, J. Maria, R.
ferr Processing
Pro
Procce
“CMOS Compatible Thin Wafer
using Temporary Mechanical Wafer, Adhesive and Laser
rs for 3D
3 Integration”, IEEE Proceedings of ECTC, June 2010, Las Vegas,
Release of Thin Chips/Wafers
NV, pp. 1393-1398.
Molin M. Töpper, C. Lopper, I. Kuna, T. Seng, T. Tabuchi, ” Carrierless
108. Bieck, F. S. Spiller, F. Molina,
nd Processing
Proc
Design for Handling and
of Ultrathin Wafers”, IEEE Proceedings of ECTC, June 2010,
316-3
316-322
Las Vegas, NV, pp. 316-322.
Zussma
109. Itabashi, T., M. Zussman
Zussman, “High Temperature Resistant Bonding Solutions Enabling Thin Wafer
racteriz
acteri
Processing (Characterization
of Polyimide Base Temporary Bonding Adhesive for Thinned Wafer
P
Handling)”, IEEE Pro
Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 1877-1880.
110. Zoschke, K., M. Wegner, M. Wilke, N. Jürgensen, C. Lopper, I. Kuna, V. Glaw, J. Röder1, O.
Wünsch1, M. J. Wolf, O. Ehrmann, and H. Reichl, “Evaluation of Thin Wafer Processing using a
Temporary Wafer Handling System as Key Technology for 3D System Integration”, IEEE
Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 1385-1392.
111. Charbonnier, J., R. Hida, , D. Henry, S. Cheramy, P. Chausse, M. Neyret, O. Hajji, G. Garnier, C.
Brunet-Manquat, P. Haumesser, L. Vandroux, R. Anciant, N. Sillon, A. Farcy, M. Rousseau, J.
Cuzzocrea, G. Druais, E. Saugier, “Development and Characterisation of a 3D Technology Including
TSV and Cu Pillars for High Frequency Applications”, IEEE Proceedings of ECTC, June 2010, Las
Vegas, NV, pp. 1077-1082.
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Ac
ce
p
te
d
Ma
nu
sc
rip
tN
ot
Co
py
ed
ite
d
112. Sun, Y., X. Li, J. Gandhi, S. Luo, and T. Jiang, “Adhesion Improvement for Polymer Dielectric to
Electrolytic-Plated Copper”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 1106-1111.
113. Kawano, M., N. Takahashi, M. Komuro, and S. Matsui, “Low-Cost TSV Process Using Electroless Ni
Plating for 3D Stacked DRAM”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp.
1094-1099.
114. Malta, D., C. Gregory, D. Temple, T. Knutson, C. Wang, T. Richardson, Y. Zhang, and R. Rhoades,
“Integrated Process for Defect-Free Copper Plating and Chemical-Mechanical Polishing of
Through-Silicon Vias for 3D Interconnects”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV,
pp. 1769-1775.
115. Campbell, D., “Yield Modeling of 3D Integrated Wafer Scale Assemblies”, IEEE Proceedings of
ECTC, June 2010, Las Vegas, NV, pp. 1935-1938.
116. Archard, D., K. Giles, A. Price, S. Burgess, and K. Buchanan, “Low Temperature
PECVD of
Temper
mpe
L Vegas, NV, pp.
Dielectric Films for TSV Applications”, IEEE Proceedings of ECTC, Junee 2010, Las
764-768.
117. Shigetou, A., and T. Suga, “Modified Diffusion Bonding for Both Cu and
SiO2 at 150 °C in Ambient
d SiO
2-877
Air”, IEEE Proceedings of ECTC, June 2010, Las Vegas, NV, pp. 872-877.
118. Amagai, M., and Y. Suzuki, “TSV Stress Testing and Modeling”,, IEEE P
Proceedings of ECTC, June
2010, Las Vegas, NV, pp. 1273-1280.
H “Thermal Stress Induced
119. Lu, K., S. Ryu, Q. Zhao, X. Zhang, J. Im, R. Huang, and P. Ho,
”, IEEE P
Delamination of Through Silicon Vias in 3-D Interconnects”,
Proceedings of ECTC, June 2010,
Las Vegas, NV, pp. 40-45.
120. Miyazaki, C., H. Shimamoto, T. Uematsu, Y. Abe, K. Kit
Kitaichi, T. Morifuji, and S. Yasunaga,
nd pic
“Development of high accuracy wafer thinning and
pickup technology for thin wafer (die)”,
ugu
gust 220
Proceedings of IEEE CPMT Symposium Japan, August
2010, , pp. 139-142.
e, K. Park, and J. Kim, “Guard Ring Effect for
121. Cho, J., K. Yoon, J. Pak, J. Kim, J. Lee, H. Lee,
educti
Through Silicon Via (TSV) Noise Coupling Reductio
Reduction”,
Proceedings of IEEE CPMT Symposium
Japan, August 2010, , pp. 151-154.
Asahi Y. Tatsuta, H. Niwa, and Y. Tachibana, “Wafer
122. Nonake, T., K. Fujimaru, A. Shimada, N.. Asahi,
package”
ackag
and/or chip bonding adhesives for 3D package”,
Proceedings of IEEE CPMT Symposium Japan,
August 2010, , pp. 169-172.
ohammed
hamme and B. Ahn, “Development of Novel Carbon Nanotube
123. Gupta, A., S. Kannan, B. Kim, F. Mohammed,
ings of E
TSV Technology”, IEEE Proceedings
ECTC, June 2010, Las Vegas, NV, pp. 1699-1702.
Moha
Moh
124. Kannan, S., A. Gupta, B. Kim, F. Mohammed,
and B. Ahn, “Analysis of Carbon Nanotube Based
roce di
d
Through Silicon Vias”, IEEE Procee
Proceedings
of ECTC, June 2010, Las Vegas, NV, pp. 51-57.
ring
ng Yield
Yi
Yiel and Hidden Costs for 3D IC Integration”, IEEE Proceedings of
125. Lau, J. H., “TSV Manufacturing
une
ne 2010,
2010 pp. 1031-1041.
201
ECTC, Las Vegas, NV, June
vented
nted the
th TSV and When?” posted at 3D InCites on April 24, 2010,
126. Lau, J. H., “Who Invented
le.com/p
.com/p
http://www.semineedle.com/posting/31171.
127. Lau, J. H., “Heartt and So
Soul of 3D IC Integration”, posted at 3D InCites on June 29, 2010,
needle.c
needle.co
http://www.semineedle.com/posting/34277.
128. Harman, G., Wire
re Bon
Bondi
Bonding in Microelectronics, McGraw-Hill Book Company, NY, 2010.
129. Lau, J. H., Flip Chipp Technology,
McGraw-Hill Book Company, NY, 1996.
T
130. Lau, J. H., Low Cost Flip Chip Technologies, McGraw-Hill Book Company, NY, 2000.
131. Pang, W., R. Ruby, R. Parker, P. W. Fisher, M. A. Unkrich, and J. D. Larson, III, “A
Temperature-Stable Film Bulk Acoustic Wave Oscillator”, IEEE Electron Device Letters, Vol. 29,
No. 4, April 2008, pp. 315-318.
132. Small, R. Ruby, S. Ortiz, R. Parker, F. Zhang, J. Shi, and B. Otis, “Wafer-scale packaging for
FBAR-based oscillators “, Proceedings of IEEE International Joint Conference of FCS, 2011, pp.
1-4.
133. Wakabayashi, H. K. Yamaguchi, M. Okano, S. Kuramochi, O. Kumagai, S. Sakane, M. Ito, M.
Hatano, M. Kikuchi, Y. Yamagata, T. Shikanai, K. Koseki, K. Mabuchi, Y. Maruyama, K. Akiyama,
Accepted manuscript posted September 24, 2014. doi:10.1115/1.4028629
Copyright (c) 2014 by ASME
Ac
ce
pt
ed
Ma
nu
sc
rip
tN
ot
Co
py
ed
ite
d
E. Miyata, T. Honda, and M. Ohashi, “A 1/2.3-inch 10.3Mpixel 50frame/s Back-Illuminated CMOS
Image Sensor”, Proceedings of IEEE/ISSCC, San Francisco, CA, February 2010, pp. 411-412.
134. Sukegawa, S., T. Umebayashi, T. Nakajima, H. Kawanobe, K. Koseki, I. Hirota, T. Haruta, M.
Kasai, K. Fukumoto, T. Wakano, K. Inoue, H. Takahashi, T. Nagano, Y. Nitta, T. Hirayama1, and N.
Fukushima, “A 1/4-inch 8Mpixel Back-Illuminated Stacked CMOS Image Sensor”, Proceedings of
IEEE/ISSCC, San Francisco, CA, February 2013, pp. 484-484.
135. Gat, A., L. Gerzberg, J. Gibbons, T. Mages, J. Peng, and J. Hong, “CW Laser of Polyerystalline
Silicon: Crystalline Structure and Electrical Properties”, Applied Physics Letter, Vol. 33, Issue 8,
October 1978, pp. 775-778.
136. Tuckerman, D. B., L. O. Bauer, N. E. Brathwaite, J. Demmin, K. Flatow, R. Hsu, P. Kim, C. M. Lin, K.
Dim
im
Lin, S. Nguyen, and V. Thipphavong, “Laminated Memory: A New 3-Dimensional
Packaging
Technology for MCMs”, Proceedings of IEEE/MCM Conference, 1994, pp. 58-63.
8-63
la,
a, and A
137. Lim, S., V. Rao, W. Hnin, W. Ching, V. Kripesh, C. Lee, J. H. Lau, J. Milla,
A. Fenner, “Process
gs,, 2008,
gs
2008 pp. 367-372. Also,
200
Development and Reliability of Microbumps”, IEEE EPTC Proceedings,
IEEE Transactions on CPMT, Vol. 33, No. 4, December 2010, pp. 747-753.
7-753.
753.
ing
ng Alt
Alter
Alternative”, 3D Packaging,
138. Sutanto, J., “POSSUMTM, “Die Design as a Low Cost 3D Packaging
Issue No. 25, November 2012, pp. 16-18.
ed
d Low Profile PoP Solution with
139. Yoon, S., J. Caparas, Y. Lin, and P. Marimuthu, “Advanced
ceedings of IEEE/ECTC, May 2012, pp.
Embedded Wafer Level PoP (eWLB-PoP) Technology”, Proceedings
1250-1254.
n 3D IC P
140. Graham, S., “Development of Hybrid Memory Cube”, in
Panel DISCUSSION at the IMAPS
International Microelectronics Conference, September 2013.
(
141. JEDEC Standard, JESD229, Wide I/O Single Data Rate (Wide
I/O SDR), December 2011. Also,
O2), August
Au
JEDEC Standard, JESD229-2, Wide I/O 2 (WideI/O2),
2014.
emory
mory (HBM)
((H
142. JEDEC Standard, JESD235, High Bandwidth Memory
DRAM, December 2013.
Hed
143. Brunnbauer, M., E. Fürgut, G. Beer, T. Meyer,, H. Hedl
Hedler, J. Belonio, E. Nomura, K. Kiuchi, and K.
nology
ology Based on a Molded Reconfigured Wafer”,
Kobayashi, “An Embedded Device Technology
p. 547-551.
547-5
547-55
Proceedings of IEEE/ECTC, May 2006, pp.
d T. M
144. Brunnbauer, M., E. Furgut, G. Beer, and
Meyer, “Embedded Wafer Level Ball Grid Array
C, Singapore,
Singa
Singapo
(eWLB)”, Proceedings of IEEE/EPTC,
December 2006, pp. 1-5.
Brunnbau
runnb
145. Meyer, T., G. Ofner, S. Bradl, M. Brunnbauer,
and R. Hagen, “Embedded Wafer Level Ball Grid
EEE/EP
EEE/EPT
Array (eWLB)”, Proceedings of IEEE/EPTC,
Singapore, December 2008, pp. 994-998.
fner, K
K. Mueller, and R. Hagen, “Embedded Wafer Level Ball Grid
146. Brunnbauer, M., T. Meyer, G. Ofner,
IEEE
IEEE/
Array (eWLB)”, Proceedings off IEEE/IEMTS,
2008, pp. 1-6.
yer, M.
M Wojnowski, M. Fink, G. Ofner, and B. Römer, “Embedded
147. Pressel, K., G. Beer, T. Meyer,
rray
ray (eWLB)
(eW
Wafer Level Ball Grid Array
Technology for System Integration”, Proceedings of Japan
m, 2010,
2010 ppp. 1-4.
IEEE/CPMT Symposium,
Duon O. Fay, S. Hayes, G. Leal, W. Lytle, D. Mitchell, and R. Wenzel,
148. Keser, B., C. Amrine,, T. Duong,
P
“The Redistributed Chip Package:
A Breakthrough for Advanced Packaging”, Proceedings of
y 2007, ppp. 286-291.
IEEE/ECTC, May
hhabr T. Duong, Z. Gong, D. Mitchell, and J. Wright, “System-in-Package
149. Hayes, S., N. Chhabra
Chhabra,
Opportunities with th
the Redistributed Chip Package (RCP)”, Proceedings of IWLPC, November
2011, pp. 10.1-10.7.
150. Chaabouni, H., M. Rousseau, P. Ldeus, A. Farcy, R. El Farhane, A. Thuaire, G. haury, A. Valentian,
G. Billot, M. Assous, F. de Crecy, J. Cluzel, A. Toffoli, D. Bounchu, L. Cadix, T. Lacrevaz, P.
Ancey, N. Sillon, and B. Flechet, “Investigation on TSV impact on 65nm CMOS devices and
circuits,” Proc. of IEEE/IEDM, Dec. 2010, pp. 35.1.1 - 35.1.4.
Download