5N Plus Micro Powders AdTech Ceramics Advanced Dicing

advertisement
5N Plus Micro Powders
Booth #: 418
4385 Garand St.
Montreal, Quebec, H4R 2B4 Canada
(P) 514-856-0644
(E) sales.micropowders@5nplus.com
(W) www.5nplus.com
5N Plus Micro Powders, invested significantly
in developing a high performance atomizing
technology to efficiently manufacture fine
metallic powders – down to the 1 to 25 micron
range. We have recently established a new
production facility at our Montreal headquarters
to serve the electronic powders markets. This
unique technology allows for the preparation of
spherical powders with low oxygen content and
uniform size distribution. Our parent company,
5N Plus Inc, is the leading producer of high
purity specialty metals and chemical products
with 11 manufacturing operations globally and
16 sales offices in Europe, Asia and the
Americas.
AdTech Ceramics
Booth #: 800
511 Manufacturers Road
Chattanooga, TN 37405 USA
(P) 423-755-5400
(E) sales@adtechceramics.com
(W) www.adtechceramics.com
AdTech Ceramics offers a full line of multilayer
co-fired ceramic packages for electronic
applications. With a fully integrated
manufacturing facility located in Chattanooga,
TN and over 45 years experience producing
high temperature co-fire ceramics (HTCC), we
are ideally positioned to take on the most
challenging packaging designs. Additionally,
our injection molding operation allows for the
economical production of complex ceramic
components that can be provided bare, with
metallization and plating, or as a ceramic-tometal assembly. We are certified to
AS9100C/ISO9001.
Advanced Dicing Technologies
Booth #: 310
1155 Business Center Drive, Suite 120
Horsham, PA 19044
(P) (215) 773-9155
(E) ADT-USA-Support@ADT-CO-COM
(W) www.adt-co.com
Advanced Dicing Technologies (ADT)
specializes in the development and
manufacturing of systems, blades and
processes used in the dicing of silicon-based
ICs, Package Singulation and hard material
Microelectronic Components (MEC). ADT
offers dicing equipment with a variety of
capabilities, configurations and levels of
automation, as well as peripheral
instrumentation and accessories, to suit an
ever-growing range of customer requirements.
Combining our equipment, annular blades and
process know-how we bring our customers
comprehensive dicing solutions.
worldwide. More information is available at
www.advantest.com
Advance Reproductions Corp.
AEMtec GmbH, based at the prestigious
science and technology location in BerlinAdlershof, is a well-established B2B enterprise
that offers high-quality miniaturized
technologies for sophisticated (opto-) electronic
applications.
Booth #: 336
100 Flagship Drive
North Andover, MA 01845
(P) 978-552-1221
(E) d.robinson@advancerepro.com
(W) www.advancerepro.com
Advance Reproductions is a leading supplier of
high-quality, large-area and optical photomasks
and phototools. Advance is an ISO 9001and
ITAR registered company. We support
manufacturers throughout the world involved in
the manufacturing of semiconductor, hybrid,
microwave, nanotechnology, medical and
electronic packaging devices. Advance
Reproductions provides solutions and custom
manufacturing services for research and
development, custom shaped substrates and
engineered tooling.
Advantest
Booth #: 619
3061 Zanker Road
San Jose, CA 95134
(P) 408-456-3600
(E) THz_info@advantest.com
(W) www.advantest.com
A world-class technology company, Advantest
is the leading producer of automatic test
equipment (ATE) for the semiconductor
industry and a premier manufacturer of
measuring instruments. The company’s
leading-edge systems and products are
integrated into the most advanced
semiconductor production lines in the world.
The company also focuses on R&D for
emerging markets that benefit from
advancements in nanotech and terahertz
technologies, and has recently introduced
critical multi-vision metrology scanning electron
microscopes and 3D imaging analysis tools for
pharmaceutical and industrial applications.
Founded in Tokyo in 1954 has subsidiaries
AEMtec GmbH
Booth #: 226
James-Franck-Str. 10
Berlin 12489 Germany
(P) +49 160 90747793
(E) matthias.lorenz@aemtec.com
(W) www.aemtec.com
AI Technology, Inc. (AIT)
Booth #: 308
70 Washington Rd.
Princeton Jct. NJ 08550
(P) 609-799-9388
(E) ait@aitechnology.com
(W) www.aitechnology.com
AI Technology, Inc. (AIT) developed flexible
epoxies for microelectronic packaging in 1985.
Today, AIT’s product line includes patented
component, substrate and large die bonding
adhesives and underfills, stack-chip packaging
with dicing die-attach film (DDAF), flip-chip
bonding and underfilling, single and multiplechip module die bonding (230°C and above),
and component and substrate bonding
adhesives for military and commercial
applications. AIT’s thermal interface materials,
including phase-change pads, greases, gels
and adhesives, ensure ultimate performance in
semiconductors, modules, computers and
communication electronics applications.
AkroMetrix, LLC
Booth #: 820
2700 NE Expressway
Building B, Suite 500
Atlanta, GA 30345
(P) 404-486-0880 (21)
(E) emoen@akrometrix.com
(W) www.akrometrix.com
Akrometrix is a Georgia-based company
founded in 1994 to provide services and
equipment to measure and resolve thermomechanical surface flatness issues in
manufacturing and assembly operations, most
notably in the production of electronic circuit
substrates and components. Its pioneering
technology was based on the research of Dr. I.
Charles Ume, a professor at the Georgia
Institute of Technology (Georgia Tech).
Akrometrix licensed and commercialized the
application of Dr. Ume's technology, which
today serves as a crucial component in the
production, and continued miniaturization, of
advanced electronics products. Akrometrix has
become the industry leader in real-time
metrology emphasizing resolution of thermomechanical issues at all levels of electronic
materials production, components fabrication
and assembly processes.
semiconductor backend, fiber optic, LED, opto
electronics, and MEMS.
AMADYNE GmbH
Applied DNA Sciences makes life real and safe
by providing botanical-DNA based security and
authentication solutions and services that can
help protect products, brands, entire supply
chains, and intellectual property of companies,
governments and consumers from theft,
counterfeiting, fraud and diversion. SigNature®
DNA describes the platform ingredient that is at
the heart of all of our security and
authentication solutions. Applied DNA
Sciences is performing work under an OSDfunded Rapid Innovation Fund, managed by US
Defense Logistics Agency, and a Phase II SBIR
awarded by Missile Defense Agency.
Booth #: 209
Draisstrasse 11a
Buehl , 77815 , Germany
(P) +49 (0)7223 2818483
(E) info@amadyne.net
(W) www.amadyne.net
AMADYNE offers compact, flexible solutions for
the automation of microelectronic assembly
manufacturing. Our systems are used for the
precise production of sophisticated and
complex components for microsystems
engineering, micro opto-electronics and micro
mechanical assemblies . Our Products are
fab1, CAT and EMU machines. The
professional competence of AMADYNE in
meeting the challenges of these automation
processes is evident in the unique combination
of our hardware and software systems. Our
market main focus is to employ our
competence to provide customized solutions by
utilizing our existing base machines, thereby
reducing the system development time and
minimizing the overall system costs.
AMICRA Microtechnologies
GmbH
Booth #: 217
Wernerwerkstr. 4
Regensburg, D D-93049 Germany
(P) +49 941208209 (80)
(E) johann.weinhaendler@amicra.com
(W) www.amicra.com
AMICRA Microtechnologies GmbH provides
customers with extraordinary, hightechnological engineering services, specializing
in the latest High-precision die bonder and flip
chip bonder technology, wafer inking systems,
dispense and test systems as well as products
for the entire industry field of microelectronics,
including:active optical cable, fan-out, TSV,
TCB, process development, silicon photonics,
Applied DNA Sciences
Booth #: 116
50 Health Sciences Drive
Stony Brook, NY 11790
(P) 631-240-8800
(E) bob.macdowell@adnas.com
(W) www.adnas.com
AT&S
Booth #: 309
1735 N. First Street, #245
San Jose, CA. 95112 USA
(P) 408-454-5287
(E) f.johnson@ats.net
(W) www.ats.net
AT&S is a top HDI circuit board and IC package
maker offering Embedded Component
Packaging technology which embeds passives
and/or bare ICs inside the center core of the
laminate. Embedding provides increased
component density and smaller size by
removing components from the board surface
and embedding them inside the board. This
also improves signal integrity with embedded
discrete capacitors located just microns directly
below the IC's. Components can be mounted
on the top surface directly above the embedded
components and on the bottom surface directly
below. AT&S has 6 manufacturing sites in
Austria (2), China (2), India, and Korea.
ATV Technologie GmbH
Booth #: 616
Johann-Sebastian-Bach-Str. 38
85591 Vaterstetten
(P) +49-8106-3050-0
(E) sales@atv-tech.de
(W) www.atv-tech.de
Batch type VACUUM REFLOW Soldering
OVENS/RTAs: Void free solder joints, IGBT,
DBC, CSP, Flip Chip, CPV, MMIC In Line
VACUUM REFLOW Soldering OVENS
MEMMS cap/Package lid sealing with GETTER
activation THERMO COMPRESSION
BONDER up to 450°C: Transient Liquid Phase
Soldering/Bonding, warped/thinned chip Ag
sintering, Cu pillar/micro bump flip chip
soldering QUARTZ TUBE Furnaces:
LPCVD, CNT/graphene, oxidation, diffusion
THERMO COMPRESSION BONDER up to 1
100°C: Constrained LTCC sintering, LTCC
embossing, glass imprinting/embossing
Manual DIAMOND SCRIBERS: to 200 mm
IR LPCVD/ALD System, 50°C-1050°C,
temperature/pressure alternation BSET EQ
GAS PLASMA SYSTEMS:
etching/cleaning/ashing/stripping/surface
treatment/RI Etching, nondestructive Cu/Al wire
functional de-capsulation, functional delayering, isotropic Polyimide removal,
anisotropic Si3N4 removal, functional failure
analyze
Axus Technology
Booth #: 423
7001 W. Erie Street, Suite 1
Chandler AZ 85226 USA
(P) 480-705-8000
(E) bvandevender@axustech.com
(W) www.axustech.com
Axus Technology delivers end-to-end support,
for R&D through volume production, for CMP
and substrate thinning applications. Starting
with process design and development in our
CMP Foundry, through delivery of production
tools and processes; Axus provides industryleading process and equipment solutions for
fabs, 3D integration, and wafer & device
packaging users.
BE Semiconductor Industries
(Besi)
Booth #: 522
33 East Comstock Dr, Suite 4
Chandler, AZ 85225
(P) +1 480 497 6404
(E) info.chandler@besi.com
(W) www.besi.com
BE Semiconductor Industries N.V. (Besi)
develops leading edge assembly processes
and equipment for leadframe, substrate and
wafer level packaging applications in a wide
range of end-user markets including
electronics, computer, automotive, industrial,
LED and solar energy. The principal brand
names for Besi's assembly systems include
Datacon, Esec, Fico and Meco. Semiconductor
back-end processes supported by Besi
equipment include die sort, epoxy and flip chip
die attach, molding, trim & form, singluation,
and plating.
BGA Technology
Booth #: 223
116 Wilbur Place
Bohemia, NY 11716
(P): (631) 750-4414
(E): msullivan@bgatechnology.com
(W) www.bgatechnology.com
BGA Test & Technology is at the forefront of
retinning and reballing technologies. Our
processes meet all the quality criteria per
GEIA-STD-0006 and the bga reballing
standards required by both Military &
Aerospace customers. Our innovative systems
allows us to provide a cost effective, high
quality, quick turn solution. We have invested in
the very latest technology, equipment and
facility to meet all of our customers current and
future needs.
Camtek USA, Inc.
Booth #: 111
2000 Wyatt Drive, Ste. 3
Santa Clara, CA 95054
(P) 408-986-9540
(E) info@camtekusa.com
(W) www.camtek.co.il
Camtek develops and manufactures state-ofthe-art Inspection and Metrology systems for
the Semiconductor industry. Camtek's Eagle
line delivers unparalleled Inspection and
Metrology solutions supporting the
Semiconductor industry from R&D to the high
production volume environment, providing
combined 2D and 3D capabilities on the same
platform. The Eagle is designed to support the
increased requirements for inspection and
metrology for the market's most demanding
applications, including bumps, surface defects,
post dicing, RDL and the emerging advanced
packaging segment. Camtek Ltd. provides
automated solutions dedicated for enhancing
production processes and yield in three
industries: Semiconductors, Printed Circuit
Board (PCB) and High Density Interconnect
Substrates.
Canon USA
Booth #: 726
3300 North 1st St.
San Jose, CA 95134
(P) 408-468-2000
(E) semi-info@cusa.canon.com
(W) www.usa.canon.com/industrial
Canon U.S.A., Inc. (www.usa.canon.com) is a
leader in Digital Imaging and Industrial
Products. Canon USA provides advanced
Lithography, Physical Vapor Deposition (PVD)
and Panel Process Equipment and technology
for advanced packaging, 3D and interposer
applications. Canon continuously developes
innovative solutions for demanding applications
as demonstrated by the FPA-5510iZs and FPA5510iV steppers and the Canon Anelva EL3400
inline deposition tool that are designed to
deliver advanced process capability while
lowering total process costs.
cea Leti
Booth #: 526
17 Rue des Martyrs
Grenoble 38000 FRANCE
(P) 33 4 3878 3922
(E) andre.rouzaud@cea.fr
(W) www-leti.cea.fr/en
Leti is an institute of CEA, a French researchand-technology organization with activities in
energy, IT, healthcare, defence and security.
Leti is focused on creating value and innovation
through technology transfer to its industrial
partners. It specializes in nanotechnologies and
their applications, from wireless devices and
systems, to biology, healthcare and photonics.
NEMS and MEMS are at the core of its
activities. In addition to Leti’s 1,700 employees,
there are more than 250 students involved in
research activities, which makes Leti a
mainspring of innovation expertise. Leti’s
portfolio of 2,800 patents helps strengthen the
competitiveness of its industrial partners.
Ceradyne, Inc., a 3M company
Booth #: 804
Seattle Offices, Ceradyne, Inc., a 3M
company, 6701 Sixth Ave. So.
Seattle, WA 98108 | USA
(P) 206-763-2170
(E) specialtyglass@mmm.com
(W) www.3m.com/specialtyglass
Ceradyne, Inc., a 3M company has a 50-year
history of developing and manufacturing
specialty glass compositions for a wide range
of markets. Our custom glass compounds and
solar metallization paste additives are
precision-manufactured for consistent physical
properties. Whatever your stage of product
development, we are ready to assist you – from
glass design and engineering services through
scale-up and full-scale production, with
analytical support and quality control provided
in-house.
Coining, Inc.
Booth #: 807
15 Mercedes Drive
Montvale, NJ 07645
(P) 201-791-4020
(E) vito.tanzi@ametek.com
(W) www.coininginc.com or
www.ametek-ecp.com
Manufacturer of quality Preforms, Micro
stampings and Bonding Wire for low-high temp
soldering/brazing. Highly pure alloys of gold,
silver, lead, tin, indium, bismuth, palladium,
aluminum. Lead-free RoHS. Copper, Kovar® &
molybdenum, clad, plated stampings. Flux
coating. Tape & reel packaging, custom
packaging. Cover Assemblies (preform/lid).
Extensive tooling library, standard & custom
designs. Quick turnaround. Request our
brochure by calling 201-791-4020 or go to our
website at www.coininginc.com or
www.ametek-ecp.com
Conductive Containers, Inc.
Booth #: 518
4500 Quebec Ave
New Hope, MN 55428 USA
(P) 763-537-2090
(E) zachb@corstat.com
(W) www.corstat.com
At CCI, we eliminate ESD. Every day we take
on ESD problems and wrestle them into
submission. From material handling products to
shippers and packaging to production process
reviews. We know what it takes to eliminate
ESD from your manufacturing and handling
processes. Our path to leadership in the static
safe packaging industry has included the
invention of Corstat conductive corrugated
materials in 1978 and the design of a broad
scope of products using that material. Our roots
go back to a passion for creating complete
packaging materials for static sensitive
products.
Criteria Labs
Booth #: 122
706 Brentwood St
Austin TX 78752
(P) 512-637-4500
(E) info@criterialabs.com
(W) www.criterialabs.com
Criteria Labs is a Back-End Semiconductor
services company focused on Reliability testing
and Package assembly. We provide SCD
package assembly, wafer and package test,
reliability testing, device characterization,
counterfeit analysis, and failure analysis as well
as tape and reel services. Our customer base
is comprised of Aerospace, Military,
Commercial, Medical, and Fabless
Semiconductor industries. Criteria Labs is MILPRF-38535 / MIL-STD-883 certified and is DLA
Class Q certified for Assembly and Test.
DeWeyl Tool Company
Booth #: 206
959 Transport Way
Petaluma, CA
(P) 707-765-5779
(E) jpalmer@deweyl.com
(W) www.deweyl.com
DeWeyl provides the finest quality bonding
wedges in the world. Located in the Petaluma,
CA, DeWeyl's primary business is
manufacturing wire bond wedges and custom
high precision tooling for the semiconductor,
aerospace and medical industry. DeWeyl
produces wedges made from ceramic, titanium
and tungsten carbide for small and large round
wire and ribbon applications.
DfR Solutions LLC
Booth #: 421
9000 Virgina Manor Road, #290
Beltsville, MD 20705
(P): 301-474-0607
(E): amcgrath@ignitionmarketing.com
(W) www.dfrsolutions.com
DfR Solutions is the leading provider of quality,
reliability, and safety software and services for
the electronics industry. We support clients
across electronic technology markets including
aviation and aerospace, automotive, consumer,
industrial, medical, military, solar,
telecommunications, as well as throughout the
electronic component and material supply
chain.
DuPont Microcircuit Materials
Booth #: 701
14 T.W. Alexander Drive
Research Triangle Park, NC 27709
(P) (800) 284-3382
(E) mcmcustomerservice@usa.dupont.com
(W) www.mcm.dupont.com
DuPont Microcircuit Materials has over 40
years of experience in the development,
manufacture, sale, and support of specialized
thick film and GreenTape(tm) low temperature
co-fired ceramic (LTCC) compositions for a
wide variety of printed electronic applications in
the photovoltaic, display, automotive,
biomedical, industrial, military, and
telecommunications markets. We deliver
solutions that lower the cost of ownership and
improve performance, reliability and
functionality. For more information on DuPont
Microcircuit Materials and Solamet®
metallization pastes, please visit
http://mcm.dupont.com.
East China Research Institute of
Microelectronics
Booth #: 321
1011 RIver Mist Dr.
Rochester, MI 48307 USA
(P) 248-462-2712
(E) twang@blueoceansllc.com
(W) www.blueoceansllc.com
ECRIM engages in developing, manufacturing
and sales of variety of Microelectronic and
Pacakge products. ECRIM is known for its
technical strength, proven product reliability,
innovative solutions, quick response,
competitive pricing and overall value. We have
seven product lines including LTCC,
AIN/HTCC, Thick Film, Thin Film, Metal
Hermetic Package and Furnace.
Element Six Technologies US
Corp.
Booth #: 422
3901 Burton Drive
Santa Clara, CA 95054
(P) 408-986-2410
(E) Thomas.obeloer@e6.com
(W) www.e6.com
E6 fabricates and sells engineered synthetic
poly- and single crystal diamond products and
diamond composites. Technologies using
diamond include thermal management, high
power laser optics, beam splitters, IR
spectroscopy, high energy particle detectors as
well as electrochemistry and scientific
applications. Custom engineered configurations
are available to meet your requirements.
EPP
Booth #: 219
Lochhamer Schlag 17
82166 GRAEFELFING
(P) +49-89-8299890
(E) hstenger@epp-germany.com
(W) www.epp-germany.com
AOI Systems in 2D/3D, INLINE & OFFLINE,
Manual & Automatic, for inspection of Screen
printed, sputtered or electroplated circuitry. For
inspection of Thick/Thin Films, LTCCs,
sensors, Wafers, LED ceramics, Automotive,
Military, Medical, Telecom Electronics.
ESL ElectroScience
Booth #: 806
416 E. Church Road
King of Prussia, PA 19406
(P) 610-272-8000
(E) ltimko@electroscience.com
(W) www.electroscience.com
ESL ElectroScience specializes in providing
solutions to enable customers to take
technologies from concept through high volume
production using thick film pastes and ceramic
tapes. ESL products can be found in hybrid
microcircuits, multilayer microelectronics,
transformers, thick film heaters, sensors, and
fuel cells. For more information visit us at
www.electroscience.com
EV Group, Inc.
Booth #: 723
7700 S. River Parkway
Tempe, AZ 85284
(P): (480) 305-2456
(E): k.roe@evgroup.com
(W) www.evgroup.com
EV Group (EVG) targets advanced packaging,
compound semiconductor and silicon-based
power devices, MEMS, nanotechnology and
SOI markets with its industry-leading waferbonding, lithography/nanoimprint
lithography(NIL), metrology, photoresist
coating, cleaning and inspection equipment.
F&K Delvotec
Booth #: 611
27182 Burbank
Fotthill Ranch, CA 92610 USA
(P): 949-595-2200 (247)
(E): Steven.buerki@fkdelvotecusa.com
(W) www.fkdelvotec.com/html_englisch
F&K Delvotec is an industry leader in wire
bonding technology. Our broad portfolio of
products delivers a solution for any wire
bonding application. Over 30 patents in wire
bonding technology testify to our continuing
emphasis on innovative technology, and our
dedicated development, applications and
service team provides optimum customer
support, worldwide. F&K Delvotec
manufactures complete bonding systems
including transducers and ultrasonic generators
as well as test equipment that has become the
industry standard for evaluation of ultrasonic
bonding systems. Whatever wire bonding is
required, F&K Delvotec offers a suitable
tailored solution – from bond process
development to complete automation systems.
prototyping environments -- thermocompression, thermo-sonic, eutectic, epoxy,
ACF & Indium bonding. Finetech also provides
advanced rework systems for today’s most
challenging applications. Our experienced staff
provides collaborative engineering to assist
customers with development and new
technologies.
Ferro Corporation
FOGALE nanotech is the Leader in Metrology
& Inspection Tools for 3D Packaging, MEMS
and related applications.
Booth #: 618
6060 Parkland Blvd.
Mayfield Heights, OH 44124
(P) 216-875-5600
(E) Ed.Stadnicar@Ferro.com
(W) www.ferro.com
Ferro Corporation is a leading global supplier of
technology-based performance materials,
including glass-based coatings, pigments and
colors, electronic materials, and polishing
materials. Ferro products are sold into the
electronics, building and construction,
automotive, appliance, household furnishings,
and industrial products markets. Its electronics
portfolio includes electronic packaging and
electro-ceramic materials, electronic glass
materials, and other engineered products used
in the manufacture of hybrid circuits,
microelectronics, advanced packaging,
multilayer chip components, and other
electronic devices. Ferro also produces
semiconductor wafer polishing materials.
Finetech
Booth #: 416
560 E Germann Rd #103
Gilbert, AZ 85297
(P) 480-893-1630
(E) sales@finetechusa.com
(W) www.finetechusa.com
Finetech offers sub-micron accuracy die
bonders for advanced packaging and micro
assembly, with a portfolio of manual, motorized
and automated models. Typical applications
include flip chip, VCSELs, laser bars & diodes,
sensors, photonics packaging, RFID, Chip to
Wafer, Cu pillar, Chip on Glass and 3D.
Process flexibility is suitable for R&D or
Fogale Nanotech
Booth #: 521
125, Rue de l'hostellerie
30900 Nimes France
(P) +33 4 66 62 05 55
(E) g.ribette@fogale.com
(W) www.fogale.com
It is based on multi-sensor heads which include
different technologies in the same integrated
tool, capable to measure all required
dimensions and defects.
FOGALE has a continuing development effort
that has tracked and satisfied customer
requirements and stays in front of the next
production needs.
Fraunhofer Institute for Ceramic
Technologies and Systems IKTS
Booth #: 326
Winterbergstrasse 28
Dresden, 01277 Germany
(P): 4935125537696
(E): uwe.partsch@ikts.fraunhofer.de
(W) www.ikts.fraunhofer.de
The Fraunhofer Institute for Ceramic
Technologies and Systems IKTS covers the
complete field of advanced ceramics, from
basic research to applications. Our services
include the development and application of
modern advanced ceramic materials, the
development of industrial powder metallurgical
technologies, and the manufacturing of
prototypical components. Structural ceramics,
functional ceramics and cermets are the main
focus with emphasis on innovative complex
systems which are applied in many industry
sectors.
Geib Refining Corp.
Booth #: 405
399 Kilvert St
Warwick, RI 02886
(P) 1-800-228-4653
(E) Mike@GeibRefining.com
(W) www.GeibRefining.com
Precious metal reclaim of gold - platinum palladium - silver - iridium - ruthenium ITAR
and EPA compliance means 100% destruction
of your intellectual property in a regulated and
environmentally responsible manner. We
process all types of precious metal scrap
including hazardous wastes. We also support
thin film technology through shield cleaning to
UHV standards. Settlements include bullion,
source materials, or check/wire. We have
many sound relationships within the IMAPS
community and are a major supporter of IMAPS
New England. Stop on over and learn why
Geib is the most valued precious metals
refining source.
Georgia Tech 3D Systems
Packaging Research Center
(PRC)
Booth #: 426
813 FERST DRIVE, NW
ATLANTA, GA 30332
(P) 404-894-9097
(E) vs24@mail.gatech.edu
(W) www.prc.gatech.edu
The 3D Systems Packaging Research Center
(PRC) at the Georgia Institute of Technology is
an Industry-Centric Global Academic Center
dedicated to leading-edge system scaling
research and inter-disciplinary education in the
System-on-a-Package (SOP) vision to enable
highly miniaturized, mega-functional systems in
a single package. Led by Prof. Rao Tummala,
the PRC offers a variety of industry
partnerships and consortia research programs
which include Electrical, Mechanical, Thermal
Designs, Glass and Organic Packaging,
Passives and their Integration with Actives,
Panel Embedded Packaging, RF, Power,
Optical, MEMS and Sensors Packaging, Hightemperature and High-temperature Packaging.
Industry benefits include IP rights, technology
transfer, access to well-educated students,
state-of-the-art 300mm R&D facilities,
advanced technology prototypes, and more.
Good-Ark Semiconductor
Booth #: 101
608-7 Johnson Ave
Bohemia, NY 11716
(P) 631-513-1432
(E) gpendola@goodarksemi.com
(W) www.goodarksemi.com
Good-Ark Semiconductor provides the following
product families in various package types from
through-hole to surface mount devices as well
as wafer/bare die for hybrid applications. GoodArk has the flexibility and R&D capability of
providing custom devices to meet customers'
specific requirements. Diodes / Rectifiers /
Bridge Rectifiers / Protection Devices /
Thyristors / Transistors / Small Signal
MOSFETs / Power MOSFETs / MEMS
(Accelerometers & Magnetometers)
Haiku Tech, Inc.
Booth #: 419
1669 N.W. 79th Avenue
Miami, FL 33126
(P) 305-463-9304
(E) mdemoya@haikutech.com
(W) www.haikutech.com
Customized Technical and equipment solutions
for the manufacture of electronic passive
components, e.g. LTCC, HTCC, MLCC, etc.
Products’ portfolio includes: dielectric powders,
binders, tape casters, sheet blankers,
mechanical punches, screen printers, stackers,
isostatic laminators, green chip (hot knife)
dicers, termination equipment, furnaces, optical
dilatometers and visual inspection equipment.
We also offer ceramic tape development and
manufacturing consulting services.
Hary Manufacturing Inc.
Booth #: 609
24 Cokesbury Road
Lebanon NJ 08833
(P) 908-722-7100
(E) sales@hmiprinters.com
(W) www.hmiprinters.com
Hary Manufacturing, Inc. (HMI) is a premier
supplier of Precision Screen Printers for the
thick-film, hybrid and other precision deposition
applications. Complimenting products include
Infrared conveyor dryers and substrate
handling automation for a wide range of
applications. HMI offers full spare parts and
technical support for AMI Presco printers as
well as all HMI equipment. Our consumable
product lines provide printing squeegee and
lint-free cleaning cloths to satisfy the production
needs of our customers. Please visit
www.hmiprinters.com for more information
HD MicroSystems, LLC
Booth #: 817
250 Cheesequake Road
Parlin, NJ 08859
(P) (800) 346-5656
(E) Kevin.T.Demartini@dupont.com
(W) www.hdmicrosystems.com
HD MicroSystems is a joint venture company of
Hitachi Chemical and DuPont Electronics
specializing in liquid polyimide (PI) and
polybenzoxazole (PBO) dielectric coatings.
HDM will highlight new low stress and low
temperature cure polymeric materials for
advanced packaging technologies with
innovative processes for Flip Chip, WLP and
3D/TSV applications, including interlayer
dielectrics (ILD), stress buffer materials (SB),
redistribution dielectric layers (RDL) and wafer
bonding adhesives (temporary and permanent).
Heraeus Electronics
Booth #: 605
24 Union Hill Rd
Conshohocken PA 19428 USA
(P) 610-825-6050
(E) electronics@heraeus.com
(W) www.heraeus-electronics.com
Heraeus Electronics is a proud supplier to the
electronics industry. This year we are excited to
present a bolstered catalogue of products that
include Thick Film pastes, LTCC materials,
precious metal powders, solder paste, solder
powders, adhesives, and a wide variety of
bonding wire. This year we will be showcasing
various products which are designed to support
the need for improved circuit performance in
the power electronics industry. Visit our booth
to see our product highlights which include,
materials sets for low and high temperature
heaters, conductors for use with AlN
substrates, and Copper Pastes for high power
electronics.
Hesse Mechatronics, Inc.
Booth #: 208
225 Hammond Avenue
Fremont, CA 94539
(P) 408-436-9300
(E) jolynn.snell@hessemechatronics.us
(W) www.hesse-mechatronics.us
Designs and manufactures thin wire bonders
for aluminum and gold, and heavy wire bonders
for aluminum, gold and copper, round wire and
ribbon, including HCR™ (High Current Ribbon).
Services include wire bonding equipment
training, applications support, development and
production of prototypes and pre-production
manufacturing at four applications and
demonstration labs.
Hi-Rel Group, LLC
Booth #: 708
16 Plains Rd
Essex, CT 06426
(P) 860 767 9031
(E) tschmidt@hi-rel.net
(W) www.hirelgroup.com
The Hi-Rel Group supplies custom designed
components and specialized materials to the
microelectronic and optoelectronic industries.
Our capabilities include component design,
CNC machining, plating, assembly, and
environmental testing in the manufacture of the
following product categories: Microelectronic
Getters, Hermetic Package Lids,
Microelectronic & Packaging Support
Components, Solder & Brazing Preforms and
Thermal Management Solutions. We have a
long and highly successful history of supporting
the microelectronics industry for more than 40
years.
Hitachi Chemical
Booth #: 322
10080 North Wolfe Road, Suite SW3-200,
Cupertino, CA, 95014
(P) 408-873-2200
(E) hca-smg02@hitachi-chemical.com
(W) www.hitachi-chem.co.jp/english/index.html
Hitachi Chemical group makes proposals
stepping into the know-how of material
combinations and manufacturing processes, in
addition to supply of materials, to solve product
development problems encountered by
customers. We are aiming to provide total
solutions from our customer’s point of view. In
2014, we reinforced our assembly and
evaluation equipment that simulates the
customer environment and started operations
of open laboratory. By bringing our sample
materials and parts supplied by customers to
the lab, and by packaging and evaluating them
together with our customers, it enables us to
shorten development period and to propose
new assembly processes.
HSIO Technologies
Booth #: 808
13300 67th Ave N
Maple Grove, MN 55311
(P) 763-447-6260
(E) randy.knudsen@hsiotech.com
(W) www.hsiotech.com
HSIO Technologies applies advanced design
concepts and manufacturing processes to
enable interconnect solutions with ever smaller
form factors and ever higher performance. The
company has developed a patent-pending
collection of technologies that eclipse
performance and size limitations of traditional
methods of electrical interconnect and power
management. Combining traditional connector,
chip packaging, and printed circuit
manufacturing methods with processes used in
the production of Photovoltaic, Display, LED,
and Printed Electronics devices, the unique set
of capabilities provide low cost, yet high
performance methods to interconnect and
power the components contained in electronic
devices.
i3 Electronics, Inc
Tap into our know-how as the industry
continues its shift to custom SoCs and SiPs.
IBM is known for its multi-chip packaging and
heterogeneous integration. We offer full turnkey
solutions from modelling and simulation to
materials and process characterization, as well
as optimized substrate design, a broad range of
Burn-in and test competencies, and skillful
failure analysis. We will help you deliver
differentiated solutions while providing
personalized, expert support to meet even the
toughest application goals.
iCAMR (International Consortium
for Advanced Manufacturing
Research
Booth #: 523
1701 North Street
Endicott, NY 13760
(P) 866 820-4820
(E) info@i3electronics.com
(W) www.i3electronics.com
Booth #: 228
400 W.Emmett Street
Kissimmee, FL
(P) (407) 742-4253
(E) iCAMR@ucf.edu
(W) www.iCAMR.net
i3 Electronics, Inc., with headquarters in
Endicott, NY, is a vertically integrated provider
of high performance electronic solutions
consisting of: design and fabrication of printed
circuit boards & advanced semiconductor
packaging; high speed laminate expertise;
advanced assembly services; reliability & signal
integrity reliability lab services; high speed back
plane & press fit assembly; and flex, rigid-flex &
2.5 & 3D die assembly.
iCAMR is an advanced materials manufacturing
development center focused on the integration
of semiconductor based processes and
materials into future products like smart
sensors and photonics devices. iCAMR serves
as a one-stop shop for the development and
integration of advanced devices (processes,
tools, prototyping, packaging and EDA),
providing access to III-V materials deposition
on Silicon wafers, ultra-high density interposers
and 3D interconnects.
i3 product lines meet the needs of markets
including aerospace & defense, medical, high
performance computing, industrial, telecom,
semiconductor & test and alternative energy,
where highly reliable products built in robust
manufacturing operations are critical for
success. For more information about i3 and its
products, please visit www.i3electronics.com.
IBM Bromont
Booth #: 826
23 Airport Boulevard
Bromont, Quebec, Canada J2L 1A3
(P) 450-534-6496
(E) assembly@ca.ibm.com
(W) www-03.ibm.com/systems/services/packaging/
IBM Bromont is a world leader in
semiconductor packaging and test technology,
products and services. Now available to
customers worldwide, we invite you to take
advantage of our experience, system level
mindset, and skilled engineers to execute your
most advanced packaging and test solutions.
Indium Corporation
Booth #: 517
34 Robinson Road
Clinton, NY 13323
(P) 3158534900
(E) cbierstine@indium.com
(W) www.indium.com
Indium Corporation is a premier materials
manufacturer and supplier to the global
electronics, semiconductor, thin-film, thermal
management, and solar markets. Products
include solders and fluxes; brazes; thermal
interface materials; sputtering targets; indium,
gallium, germanium, and tin metals and
inorganic compounds; and NanoFoil®.
Founded in 1934, Indium has global technical
support and factories located in China,
Malaysia, Singapore, South Korea, the United
Kingdom, and the USA.
4611 East Lake St.
Minneapolis, MN 55406
(P) 860-459-5085
(E) smcdonough@igi.com
(W) www.igi.com
Infinite Graphics provides precision imaging
and software solutions. We offer high quality
quick turn photomask services including nonstick mask coating. We can produce 3D
microstructures on substrates up to 800mm x
800mm. Ask about our new solderpaste stencil
software. Take stencil edits down from hours to
minutes.
Institute for Electronics and
Nanotechnology, Georgia Tech
Booth #: 427
345 Ferst Dr. N.W.
Atlanta, Ga. 30332
(P) 404 894 3847
(E) dean.sutter@ien.gatech.edu
(W) ien.gatech.edu
The Institute for Electronics and
Nanotechnology is an interdisciplinary research
institute at Georgia Tech. IEN is purposed with
the advancement of the micro and nanoenabled electronic systems for the benefit of
mankind. IEN provides an intellectual
environment, shared-user infrastructure, and
research support team available to industry and
academia that enables and promotes
interdisciplinary research, education, training,
and technology transfer via the cooperative
coalescence of academia, industry, and
government programs.
Interconnect Systems
Booth #: 211
759 Flynn Road
Camarillo, CA 93012
(P) 805-482-2870
(E) info@isipkg.com
(W) www.isipkg.com
Interconnect Systems, Inc. (ISI), specializes in
high-density module packaging and advanced
system-level interconnect solutions. ISI offers
design, qualification, and testing, coupled with
fully integrated in-house manufacturing.
Capabilities include: high-density PCB design,
fine pitch SMT, flip chip, wirebond assembly, IC
packaging, custom molding, over molding, and
automated optical inspection. www.isipkg.com
Infinite Graphics
Inventec Performance Chemicals
Booth #: 721
Booth #: 118
Rio Conchos 1757, El Rosario
Guadalajara, Jalisco 44890 Mexico
(P) 523338388866
(E) rhernandez@inventec.dehon.com
(W) www.inventec.dehon.com/en/
INVENTEC Electronic Business Unit is a
company specialized in developing, producing
and delivering soldering, cleaning and coating
materials for the assembly of printed circuit
boards and semiconductor packaging. Our
materials deliver a balanced added value
integrating reliability, sustainability and
compatibility between materials. Solder
paste for SMT, Package on Package, Jet
Printing, wafer Bumping and die attach. Solder
fluxes for Wave Soldering, Package on
Pachage and flip chip Aqueous cleaners for
PCBA defluxing and maintenance cleaning
Solvent cleaners for PCBA defluxing and
maintenance cleaning Coatings for protection
of PCBA. The markets we mainly serve are
automotive, aerospace, LED lighting,
semiconductor, energy, rail and industrial.
KOA Speer Electronics, Inc
Booth #: 320
199 Bolivar Drive
Bradford, PA 16701 USA
(P) 814-362-5536
(E) dmcgriff@koaspeer.com
(W) www.koaspeer.com
As you search for surface mount passive
components that will ensure the optimum
performance of your circuit design, count on the
broad line of products from KOA Speer. Our
engineering teams are developing a wide range
of new smaller sizes and integrated packages
to accommodate higher clock speeds and
product downsizing. Our world class
manufacturing facilities are poised to ramp up
with new designs, allowing us to take them to
market with the shortest of lead times. And our
sophisticated warehousing and distribution
system ensures the availability of components
when you need them.
Kulicke & Soffa
Booth #: 709
1821 E. Dyer Rd. #200
Santa Ana, CA 92705 USA
(P) 949-660-0440
(E) sfrese@kns.com
(W) www.kns.com
Kulicke & Soffa (NASDAQ: KLIC) is a global
leader in the design and manufacture of
semiconductor, LED and electronic assembly
equipment. K&S has expanded its product
offerings in advanced packaging through the
acquisition of Assembleon, which diversifies
K&S’ participation in the automotive and
industrial markets via advanced SMT. K&S
solutions include ball bonding, wedge bonding,
wafer level bonding, thermo-compression
bonding, flip chip, FOWLP, WLP, SIP, PoP and
Embedded Die. Combined with its expertise in
process technology, K&S is well positioned to
help customers meet the challenges of
assembling the next-generation semiconductor,
LED devices and high quality printed circuit
board assembly.
Kyocera America, Inc.
Booth #: 716
8611 Balboa Ave.
San Diego, CA 92123
(P) 800-468-2957
(E) iris.labadie@kyocera.com
(W) americas.kyocera.com/kai-semiparts/
Kyocera America, Inc. (KAI) offers an extensive
array of semiconductor packages and high
frequency complex modules including mmW,
RF, T/R modules, BGAs, SiPs, and High Power
GaN packages in a variety of ceramic and
organic material sets. KAI has state-of-the-art
electrical design, modeling / simulation
capability in-house to maximize package and
circuit performance in your application. Our
Assembly Technology Division accepts
prototype to volume production orders for flip
chip, wirebond, wafer dicing / bumping, vacuum
soldering, test and burn-in.
Metallix Refining, Inc.
Booth #: 120
59 Avenue at the Commons, # 201
Shrewsbury, NJ 07702
(P) 800-327-7938
(E) daniellel@metallix.us
(W) www.Metallixrefining.com
Metallix Refining Inc. has been in the precious
metals refining business since 1968. We
process and purchase scrap materials
containing gold, silver, platinum, palladium and
rhodium from small and large businesses alike,
in the jewelry, medical, dental, electronics,
specialty chemical, automotive and many other
industries. In 2004, we built a new 90,000square-foot precious metals refining facility on
a 15-acre site in Greenville, North Carolina. Our
executive offices are located in Shrewsbury,
New Jersey.
Metalor Technologies USA
Booth #: 504
255 John L. Diestch Blvd.
North Attleboro, MA 02763
(P) 508-699-8800
(E) gary.nicholls@metalor.com
(W) www.metalor.com/
The Advanced Coatings Division has a number
of competitive advantages in the market place:
best in class gold, silver and PGM products and
solutions, consistency in product quality,
product reliability, maximum performance in
application and lowest applied cost (via speed,
yield, delivery advantages). The division is
positioning itself as a one-stop-provider of a
comprehensive range of services.
Micro Systems Technologies,
Inc.
Booth #: 707
1839 S. Alma School Road, Suite 270
Mesa, AZ 85210-3024, USA
(P) 480) 775 6878
(E) info@mst.com
(W) www.mst.com
The Micro Systems Technologies group
comprises four technology companies providing
innovative products and services for medical
devices, especially implants, and other highreliability/high-performance industries. The
offering includes HDI/microvia PCBs, ceramic
substrates, electronic module design and
manufacturing, advanced assembly and
semiconductor packaging technologies,
batteries and hermetic feedthroughs for
implants.
MicroScreen LLC
Booth #: 221
1106 S. High Street
South Bend, IN 46601
(P) 574/232-4358
(E) hollyw@microscreen.org
(W) www.microscreen.org
MicroScreen LLC manufactures thick film
screens and large format/solar screens in a
wide variety of mesh and frame sizes, with
highly controlled coating machines for uniform
emulsion. MicroScreen also offers laser cut
and electroformed stencils for solder paste
deposition. All stencils are 100% inspected
using ScanCheck AOI. Options include Nano
Coating, Fine Grain Metal, Invar Metal,
MicroWeld step stencils, and Wizard frame and
Space Saver frame systems. MicroScreen is
ITAR Registered.
Micross Components
Booth #: 318
7725 N. Orange Blossom Trail
Orlando, FL 32810
(P) 407-298-7100
(E) sales@micross.com
(W) www.micross.com
Micross Components is a leading global
provider of distributed and specialty electronic
components for military, space, medical, and
demanding industrial applications. Operating as
a single source for high reliability and state-ofthe-art electronics, Micross' solutions range
from bare die and wafer processing to
advanced and custom packaging to component
modifications and related interconnect
offerings. With a 35+ year heritage, Micross
possesses the design, manufacturing and
logistics expertise needed to support an
application from start to finish.
Mini-Systems, Inc.
Booth #: 623
20 David Rd. P.O. Box 69
N. Attleboro, MA 02761-0069
(P) 508-695-0203
(E) ctourgee@mini-systemsinc.com
(W) www.mini-systemsinc.com
For over 44 years, MSI has been supplying
superb quality and on-time deliveries. Absolute
tolerances starting at 0.005% and TCR's at
2ppm/C. Case sizes start at 0101. Standard
deliveries under 2 weeks. MSI is ISO 9001
certified and is on the QPL for MIL-PRF-55342
and MIL_PRF-32159.
Moldex3D (Shared with Neu Dynamics)
Booth #: 104
27725 Stansbury Blvd., Suite 190
Farmington Hills, MI 48334
(P) 248-946-4570
(E) susanvaaler@moldex3d.com
(W) www.moldex3d.com
About CoreTech System (Moldex3D)
CoreTech System Co., Ltd. (Moldex3D) has
been providing the professional CAE analysis
solution “Moldex” series for the plastic injection
molding industry since 1995, and the current
product “Moldex3D” is marketed worldwide.
Committed to providing advanced technologies
and solutions to meet industrial demands,
CoreTech System has extended its sales and
service network to provide local, immediate,
and professional service. CoreTech System
presents innovative technology, which helps
customers troubleshoot from product design to
development, optimize design patterns, shorten
time-to-market, and maximize product return on
investment (ROI). More information can be
found at www.moldex3d.com.
NEO Tech
MRSI Systems
After four decades of growing in experience
and expertise, NEO Tech has emerged as
North America’s largest assembler of hybrid
and microwave microelectronics. NEO Tech
has thrived by offering our customers an
unmatched understanding of materials,
production equipment, standardized processes
and defect-elimination approaches.
Booth #: 400
101 Billerica Ave
N.Billerica, MA 01862
(P) 9786679449
(E) sales@mrsisystems.com
(W) www.mrsisystems.com
MRSI Systems Die Attach and Dispense
Systems. MRSI Systems is a leading supplier
of high precision assembly and dispense
equipment for the semiconductor and
microelectronics industry offering systems for
the manufacture of Microwave, Optical, MCM’s
and MEM’s devices. With three decades of
advanced packaging application experience,
MRSI Systems products support multiple
interconnect technologies, including epoxy die
bonding, eutectic attach, thermo-compression
and flip chip. The ultra-precision MRSI-M3 with
1 micron capability and the MRSI-705
Assembly Work Cells specialize in thin die
handling and 3D packaging and the MRSI
Systems MRSI-175Ag Epoxy Dispenser is the
leader for high precision conductive epoxy
dispensing including 125 micron dots.
Supported dispense technologies include auger
style pumps, precision time/ pressure, stamping
and jetting.
NAMICS Corporation
Booth #: 717
2055 Gateway Place, Suite 480
San Jose/CA/95110
(P) 408-516-4611
(E) info@namics-usa.com
(W) www.namics.co.jp/e
NAMICS CORPORATION is a leading source
for underfills, encapsulants, adhesives, and
insulating and conductive materials used by
producers of semiconductor devices, passive
components and solar cells. Headquartered in
Niigata, Japan with subsidiaries in the USA,
Europe, Taiwan, Singapore, Korea and China,
NAMICS serves its worldwide customers with
enabling products for leading edge
applications.
Booth #: 505
9340 Ownesmouth Ave.
Chatsworth, CA 91311
(P) 818-734-6500
(E) info@neotech.com
(W) www.neotech.com
NETZSCH Instruments North
America LLC
Booth #: 719
129 Middlesex Turnpike
Burlington, MA 01803 USA
(P) 781-272-5353
(E) nib_sales@netzsch.com
(W) www.netzsch.com
Thermal analysis & thermal properties
measurement instruments plus contract testing
services; Thermal diffusivity & thermal
conductivity of electronic packaging materials
according to ASTM E1461 by the Laser Flash
Method, DSC, TGA, STA (true DSC-TGA),
Dynamic Mechanical Analysis DMA, thermal
expansion by TMA and Dilatometry, specific
heat capacity by DSC, DEA - dielectric analysis
for thermoset cure monitoring, and more.
Neu Dynamics Corporation
(Shared with Moldex3D)
Booth #: 104
110 Steamwhistle Drive
Ivyland, PA 18974
(P) 215-355-2460
(E) kevinhartsoe@neudynamics.com
(W) www.neudynamics.com - www.ndcint.com
NDC is an ISO certified Tool, Mold and Die
manufacturer specializing in tooling and
equipment used in building Semiconductors,
Electronic components and a wide variety of
the devices used in automotive,
telecommunications, solar and medical
applications. We offer specialized equipment
built for today’s high-tech semiconductor,
assembly processes. Find out what the NDC
companies can do to make your products better
and your life easier.
NorCom Systems, Inc.
Booth #: 617
1055 West Germantown Pike
Norristown/ PA/19403 USA
(P) 610-592-0167
(E) caubertin@norcomsystemsinc.com
(W) www.norcomsystemsinc.com
NorCom Systems, Inc. manufactures optical
leak test equipment for inspecting hermetically
sealed packages. The NorCom 2020 provides
automated, in-line, full matrix gross and fine
leak testing performed simultaneously with
direct measurement of package leak rates in
cc-atm/sec. Package types inspected with the
equipment include MEMS, PC board-mounted
devices, Hybrids, crystal oscillators, and Hi-Rel.
Nordson Dage
Booth #: 705
2370 Oak Ridge Way, Suite B
Vista CA 92081
(P) 510-683-3930
(E) aram.kardjian@nordsondage.com
(W) www.nordsondage.com
Nordson DAGE is the market leading provider
of award winning test and inspection systems
and is recognized as the industry standard.
The 4000Plus includes pneumatic Z control and
Paragon™ GUI, performs pull/peel tests to
100Kg shear tests to 200Kg push tests to 50kg
hot bump pull for PCB pad cratering (IPC9708)
and Micro Materials. It has optional camera
assist automation ideally suited for wire pull
and ball/bump shear testing.
The 4000Optima is an all purpose pull and
shear tester including pneumatic Z control and
Paragon™ GUI. The
The newest 4800 is for 200mm, 300mm,
450mm and large form factor pull and shear
testing.
Noritake
Booth #: 109
2635 Clearbrook Drive
Arlington Heights, IL 60005
(P) 8474399020
(E) kawabata.cer@noritake.com
(W)
www.noritake.co.jp/eng/products/ceramic/index.html
Over 100 years of experience, lessons learned,
and no-how. "Noritake" is the leading industrial
ceramics and materials company in all of Asia
and other points locally. This allows "Noritake"
and its partners to share in development and
innovation. New innovations include, any kinds
of ceramics materials based PCB for Pressure
sensors, LED and Power Electronics...
bridge control, communications, and mail
processing. Maritime systems include
propulsion and power-generation systems for
ships and submarines, control systems,
shipboard launch systems and unmanned
semi-autonomous systems.
North Carolina State University PREES
nScrypt Inc.
Booth #: 527
1791 Varsity Dr., Suite 100
Raleigh, NC 27606
(P) 919-513-5929
(E) DCHopkins@NCSU.Edu
(W) www.PREES.Org
The Laboratory for Packaging Research in
Electronic Energy Systems (PREES) at the
NSF-ERC FREEDM Systems Center at North
Carolina State University, focuses on R&D in
very high density, high frequency power
electronic circuits. The lab uses advanced
capabilities in multiphysics modeling and circuit
fabrication to investigate the use of 3D printing
of ceramics, plastics and metals, of Wide
Bandgap SiC and GaN power semiconductors
and advanced composites for thermal
management, in applications such as telecom
supplies, EV & Medium Voltage motor drives,
and high voltage (>10kV) power semiconductor
testing. The PREES program also develops
students with multi-disciplinary studies in power
electronic systems.
Northrop Grumman Electronic
Systems
Booth #: 727
7323 Aviation Blvd
Baltimore, MD
(P) 410-765-9399
(E) erica.folk@ngc.com
(W) www.ngc.com
Northrop Grumman Electronic Systems is a
leading developer, manufacturer, integrator and
supporter of a variety of advanced electronic
and maritime systems for U.S. and international
customers for national security and nondefense applications. Systems include high
performance sensors and intelligence
processing and navigation systems operating in
all environments from undersea to outer space.
Applications include airborne surveillance,
space sensing, biochemical detection,
intelligence fusing and analysis, aircraft fire
control, precision weapon engagement,
electronic countermeasures, inertial navigation,
air and missile defense, air traffic control, ship
Booth #: 718
12151 Research Parkway, #150
Orlando, FL 32826
(P) 407-275-4720
(E) info@nscrypt.com
(W) www.nscrypt.com
nScrypt sells 3D printing and micro-dispensing
platforms with 3 to 6 axis of motion. nScrypt’s
SmartPump™ technology dispenses a wide
range of materials to include epoxies, solders,
conductive polymers and pastes. The fine line
ability to reach 50 microns and less in line
widths and dots of 75 microns and less enables
many next generation packaging applications.
They also excel at printed conformal antennas.
NTK Technologies
Booth #: 420
3979 Freedom Circle Drive, Suite 320
Santa Clara, CA 95054
(P) 408- 562-5124
(E) mstoops@ntktech.com
(W) www.ntktech.com
NTK Technologies is a leader in IC Organic
and Ceramic Packaging. With global service
centers, NTK offers a wide range of packaging
materials and design services for Opto, LED,
MCM, RF, CMOS Image Sensors, Hi-Rel,
Satellite, FCBGA, FCCSP, FPGA, CPU, MPU,
Automotive and Medical applications.
Monolithic package designs for Medical and
Mobile applications. Optimum package
designs for 10G, 40G, and 100/400G. Large
and small scale Ceramic and Organic STFs are
manufactured for high-speed probe-cards for
semiconductor wafer test. Large and small
scale ceramic substrates can be configured
with narrow pitches and a wide range of pin
count capabilities. NTK supports fast paced
product cycle times with our advanced design
and production flows featuring high precision
processes for fast turn-around with the highest
quality.
Ormet Circuits,Inc
Booth #: 805
6555 Nancy Ridge Drive #200
San Diego, CA 92121
(P) 858-831-0010
(E) support@ormetcircuits.net
(W) www.ormetcircuits.com
Ormet Circuits, Inc. welcomes you to visit booth
805 for their introduction on next generation
lead-free die attach materials for power
semiconductor packages. Ormet’s lead-free
sintering pastes are being used in
semiconductor packaging, printed circuit
boards, and as a solder alternative. The need
for environmentally friendly, complex circuit
boards and semiconductor packages is growing
and the new methods of forming electrical and
thermal interconnections are essential. Ormet
Circuits is located in San Diego and is a
privately held company engaged in the design,
manufacture, and sale of electrically conductive
interconnect materials.
PA&E
Booth #: 311
434 Olds Station Rd
Wenatchee, WA 98802
(P) 509.664.8000
(E) sales@pacaero.com
(W) www.pacaero.com
Hermetic connectors and integrated electronic
packages from PA&E protect sensitive
electronics under the harshest conditions. Our
components are widely used in military aircraft,
missile systems, satellites, down-hole oil and
implantable medical applications. We use
unique materials and manufacturing processes
and can optimize designs in a number of areas
including: electrical performance, thermal
transfer, size/weight reduction and more. We
provide offers a range of manufacturing
capabilities – machining, plating, vacuum
brazing, laser welding, and more -- at a single
location. This integrated manufacturing
approach can reduce complexity and risk on
your next project. Visit us in booth 311 to learn
more.
PacTech USA Inc.
Booth #: 201
328 Martin Avenue
Santa Clara, CA 95050, USA
(P) 408-588-1925
(E) teutsch@pactech.com
(W) www.pactech.com
PacTech USA Packaging Technologies, Inc.
(Santa Clara, California) offers contract wafer
bumping services using low-cost UBM (electroless Ni/Au), solder stencil printing, and solder
ball placement for quickturn and mass
production. PacTech USA also provides
product demonstrations, training, and sales
support. PacTech designs and manufactures
wafer bumping and assembly equipment for flip
chip, WLCSP, and interposers. PacTech is the
worldwide leader in laser reflow and heating
technology, implemented in systems for solder
jetting (SB2) and flip chip attachment
(LAPLACE), including 3D soldering for
advanced packaging applications like HeadGimbal Assembly (HGA), MEMS, Optoelectronic packaging, IR detectors, various
sensor products, and LCD drivers.
Palomar Technologies
Booth #: 511
2728 Loker Avenue West
Carlsbad, CA 92010 USA
(P) 760-931-3681
(E) csalerno@bonders.com
(W) www.palomartechnologies.com/
Palomar Technologies, a former subsidiary of
Hughes Aircraft, is the global leader of
automated high-accuracy, large work area die
attach and ball and wedge wire bonding
equipment and precision contract assembly
services. Customers utilize the products,
services and solutions from Palomar
Technologies to meet their needs for
optoelectronic packaging, complex hybrid
assembly and micron-level component
attachment. For more information, visit
www.palomartechnologies.com.
Panasonic Factory Solutions
Company of America
Booth #: 102
1701 Golf Road, Suite 3-1200
Rolling Meadows, IL 60008 USA
(P) 847-637-9600
(E) PFSAmarketing@us.panasonic.com
(W) www.panasonicfa.com
Panasonic Factory Solutions Company of
America (PFSA) develops and supports
innovative manufacturing processes around the
core of circuit manufacturing technologies and
computer-integrated manufacturing software—
thereby, contributing to the growth and
prosperity of our customers’ businesses
regardless of their mix or volume.
Perfection Products, Inc.
Booth #: 501
1320 Indianapolis Avenue
Lebanon IN 46052
(P) 765-482-7786
(E) sales@perfection-products.com
(W) www.perfection-products.com
Perfection Products manufactures Process
Magazines and Carriers. Such products are
Film Frames, Grip Rings, Magazines for
Frames and Rings. Lead Frame Magazines,
Process Boats (formed & flat style) &
Magazines, Antistatic Shippers for Frames and
Rings. Also, available are the 12.0” (300 mm)
Wafer Frames and Magazines. Perfection –
Accept Nothing Less
Plasma-Therm
Booth #: 304
10050 16th St. North
St. Petersburg, FL 33716
(P) 727-577-4999
(E) sales@plasmatherm.com
(W) www.plasmatherm.com
Plasma-Therm® is a leading provider of
advanced plasma processing equipment.
Plasma-Therm systems perform critical process
steps in the fabrication of integrated circuits,
micro-mechanical devices, solar power cells,
lighting, and components of products from
computers and home electronics to military
systems and satellites. Specifically, PlasmaTherm systems employ innovative technology
to etch and deposit thin films. The company's
Mask Etcher® series for photomask production
has exceeded technology roadmap milestones
for more than 15 years. Plasma-Therm’s MDS100 Singulator™ system brings the precision
and speed of plasma dicing to chip-packaging
applications. Manufacturers, academic and
governmental institutions depend on PlasmaTherm equipment, designed with “lab-to-fab”
flexibility to meet the requirements of both R&D
and volume production. Plasma-Therm's
products have been adopted globally and have
earned their reputation for value, reliability, and
world-class support. Plasma-Therm’s status as
a preferred supplier of plasma process
equipment has been recognized with 17
consecutive VLSIresearch industry awards,
including #1 rankings for customer satisfaction
in the last three years.
Polymer Assembly Technology,
Inc. & Microelectronics
Assembly Technologies, Inc.
Booth #: 818
104 TW Alexander Drive, PO Box 13279
Research Triangle Park, NC 27709
(P) 919-314-5520
(E) jclayton@polymerassemblytech.com
jclayton@microassemblytech.com
(W) www.polymerassemblytech.com
www.microassemblytech.com
Polymer Assembly Technology (PAT) provides
prototype and low-volume flip chip assembly of
high-density pixel imaging devices and
temperature sensitive II-VI and III-V group
materials, including: optical, radiation, and biomedical sensors, and optical/polymer-MEMS.
PAT offers a low-temperature alternative with
electrically conductive and non-conductive
polymer inks that can be stencil-printed and
cured at temperatures as low as 70°C.
Microelectronics Assembly Technologies (MAT)
has developed a unique multichip packaging
technology using flexible circuits that may be
enclosed within protective heat spreaders,
compatible with DIMM-sockets for memory
applications, or mated directly to pads arrayed
on the motherboard with compression contacts
for high-density microserver applications.
Quik-Pak, a division of Promex
Industries
Booth #: 520
10987 Via Frontera
San Diego, CA 92127
(P) 858-521-3607
(E): casey@icproto.com
(W) www.icproto.com
Quik-Pak, a division of Promex Industries,
provides IC packaging, assembly, and wafer
preparation services in its ISO 9001:2008
registered facility in San Diego, California.
Quik-Pak manufactures overmolded and premolded open cavity QFN packages that provide
a fast, inexpensive solution for prototype to full
production needs. Same-day assembly
services are provided to shorten time to market.
In addition to wire bond assembly for MW/RF
applications, the company assembles flip chips,
BGAs, sensors, MEMS, and chip-on-board and
chip-on-flex assemblies.
relayr gmbh
Booth #: 823
Tempelhofer Ufer 17
Berlin 10963 Germany
(P) +49 1792989866
(E) jackson@relayr.io
(W) www.relayr.io
relayr is providing the “middleware” or the
“operating system” for the IoT. Our three pillar
platform (including cloud, SDKs and prototyping
hardware) allows easy, reliable and secure
hardware onboarding for mobile, enterprise
application or cloud development. The key
attributes for our architecture are openness and
interoperability. Our goal is to become the
center of gravity for the connected world,
enabling IoT adoption across the globe.
Reldan Metals, Co div of
Abington Reldan Metals, LLC
Booth #: 300
550 Old Bordentown Road
Fairless Hills
(P) 800-764-9222
(E) sales@armetals.com
(W) www.armetals.com
Reldan Metals Co. Div. of Abington Reldan
Metals, LLC refinery has been operating and
handling precious metal scrap for over 35
years. Our goal is to maximize the value of your
precious metal scrap. The company’s State of
the Art, LEED certified facility is ISO
14001:2004 certified, OHSAS 18001:2007, eSteward 2.0:2013 , R2:2013 certified,
GreenCircle certified , CHWMEG reviewed and
ITAR registered. LEED certification sets forth
strict standards for energy-efficient and
environmentally responsible workplaces.
Abington Reldan Metals reflects its commitment
to environmental sustainability at every step of
the refining process. Our expertise, knowledge
and skills help us serve many customers in an
ever changing industry as well as providing the
highest level of service for your precious metal
recovery program.
Riv Inc ~ Precision Printing
Screens
Booth #: 401
31 Railroad Ave
Merrimack NH
(P) 603-424-0510
(E) tania@rivinc.com
(W) www.rivinc.com
Since 1986 Riv Inc. has been a leading
manufacturer of high quality printing screens.
We cater to: Thick Film Hybrid Electronics, Flex
Circuitry, Membrane Swiches, RFID Antennas,
Solar Cell Manufacturing and other Emerging
Industries. We use only the finest mesh and
emulsions available in our industry. This gives
us the advantage of manufacturing the finest
screen possible for your screen printing needs
and lets us help you Print With Quality.
Royce Instruments, LLC
Booth #: 305
831 Latour Court, Suite C
Napa, CA 94558
(P) 707-255-9078
(E) sales@royceinstruments.com
(W) www.royceinstruments.com
Celebrating over thirty years of US design and
manufacturing, Royce Instruments’ products
have earned excellent reputations for
performance and reliability. The 600 Series
Bond Test Instruments offer extensive SPC and
data sharing options (see in action with
trinocular microscope at booth 305), as well as
express module support to minimize downtime. Quick change-over die sorters excel with
critical geometry, fragile die. Semi-automatic
(DE35-ST) or fully-automatic with wafermapping (AutoPlacer MP300), both are
designed to handle a high mix of applications.
Visit Royce booth 305 to discuss how the
MP300’s touchscreen software platform can
handle your latest die sorting requirements.
Rudolph Technologies
Booth #: 410
One Rudolph Road P.O. Box 1000
Flanders, NJ 07836
(P) 973-691-1300
(E) info@rudolphtech.com
(W) www.rudolphtech.com
Rudolph Technologies, Inc. is a leader in the
design, development, manufacture and support
of defect inspection, advanced packaging
lithography, process control metrology, and
data analysis systems and software used by
semiconductor device manufacturers
worldwide. Rudolph provides a full-fab solution
through its families of proprietary products that
provide critical yield-enhancing information,
enabling microelectronic device manufacturers
to drive down the costs and time to market of
their products. The Company’s expanding
portfolio of equipment and software solutions is
used in both the wafer processing and final
manufacturing of ICs, and in adjacent markets
such as FPD, LED and Solar.
Sales & Service Inc.
Booth #: 319
4883 E. La Palma Ave. #505
Anaheim, CA. 920807
(P) 714-696-5332
(E) amy@salesandserviceinc.com
(W) www.salesandserviceinc.com
Manufacturer's Rep and Distributor
Samtec, Inc.
Booth #: 105
520 Park East Blvd.
New Albany, Indiana 47150
(P) 812-944-6733
(E) glenn.dixon@samtec.com
(W) www.samtec.com
Known as the worldwide service leader for
electronic connectors and cables, Samtec has
focused on leading edge high speed products
and services for the last 2 decades. The
tremendous success in these areas has driven
Samtec to further move into faster and smaller
arenas. They now provide full turnkey solutions
for your entire signal chain from IC, through the
package, and through substrates, connectors
and cables. Samtec can help you design,
model, layout, and assemble your IC package
with highest level of Signal Integrity.
SANTIER Thermal Management
Solutions
Booth #: 620
10113 Carroll Canyon Road
San Diego, CA 92131
(P) 858-348-1211
(E): brian.kopp@santier.com
(W) www.santier.com
SANTIER is a center of excellence for the
design and manufacture of microelectronic
housings and assemblies. With our facilities in
San Diego, we are integrated to manufacture
metal matrix composites, multilayer high
temperature co-fired ceramic, assembly and
plating for customer thermal management
products that span the aerospace, defense,
medical, telecom, communications and many
other industries around the world.
Sekisui Chemical Co., Ltd.
Booth #: 627
32 Wadai
Tsukuba, Ibaraki, 300-4292
(P) +1(408)453-0880
(E) bu-film@sekisui.com
(W) www.sekisuichemical.com
Sekisui Chemical utilizes its unique fine
particle, adhesion and precise synthesis
technologies to develop and provide highperformance and intermediate materials for
wide variety of fields such as electronics,
automobiles and transportation, buildings and
infrastructures, life sciences and industries.
During IMAPS 2015, Sekisui Chemical will be
showcasing advanced build-up dielectric
materials that enable lower insertion loss and
lower warpage for next generation FC-BGA and
FC-CSP substrate needs.
Semiconductor Enclosures
Incorporated
Booth #: 722
85 Parker Street
Newburyport, MA 01950
(P) 9784621880
(E) Chrism@semiconductorenclosures.com
(W) www.semiconductorenclosures.com
Semiconductor Enclosures Incorporated (SEI)
is a powder to package, fully integrated HTCC
technical ceramics manufacturer located in
Newburyport, Massachusetts. SEI provides
ceramic tape systems, ceramic substrates,
multilayer ceramic substrates, metallization
services, metal to ceramic assemblies, and
microelectronic ceramic packaging. We
specialize in variety of aluminas, ZTA, and AlN.
SEI provides ceramic based products and
services to customers in microwave, RF,
power, Hi-Rel, military, communications,
aerospace, medical, optical and industrial
markets. We manufacture here in the USA with
Conflict Free Minerals and RoHS compliant
materials.
Semiconductor Equipment Corp.
Booth #: 622
5154 Goldman Avenue
Moorpark, CA 93021
(P) 805-529-2293
(E) sales@semicorp.com
(W) www.semicorp.com
Manufacturer and distributor of manual,
semiautomatic, and automatic equipment for
the Photonics, Semiconductor, MEMS, SMT
and Hybrid Industries. Back end products
include flip-chip bonders, ultrasonic die
bonders, laser diode bonders, eutectic die
bonders, manual pick & place, epoxy die
bonders, die rework, dicing tape, manual and
automatic dicing tape applicators, UV tape
curing system, backgrinding tape, backgrinding
tape applicators, backgrinding tape peelers,
and die ejectors. Front end products include
semiautomatic and fully automatic cassette,
SMIF, RSP, FOSB, FOUP, and EUV pod
cleaning systems and cleaning wafers for
vacuum and e-chucks.
SemiDice, Inc
Booth #: 700
10961 Bloomfield Street
Los Alamitos Ca 90720
(P) 562-594-4631
(E) dminter@semidice.com
(W) www.semidice.com
SemiDice is the only global wafer processor
with a High Reliability Division Dedicated to
providing bare die for medical aerospace,
military and robust industrial applications.
Whether your requirements are for bare die to
meet the manufacturer data sheet or your own
specification, SemiDice can react quickly to
provide solutions for qualified components at a
fair market price.
Shinko Electric America
Booth #: 307
2880 Zanker Road #204
San Jose, CA, 95134
(P) 408-232-0499
(E) rick.macdonald@shinko.com
(W) www.shinko.com
Shinko Electric Industries CO., LTD. is a
leading manufacturer of products used in the
assembly of IC’s such as; Organic Substrates,
Etched and Stamped Leadframes, TO
Packages and Integrated Heatspreaders.
Shinko manufactures a full line of Organic
Substrate structures including coreless options
offering enhanced electrical performance and
package size reduction. Shinko can also
provide subcontract assembly services with an
emphasis on packaging solutions such as POP,
SIP and Camera Modules utilizing our
advanced package assembly technologies,
including our molded core embedded package,
MCeP™. Shinko is located in Nagano, Japan
and provides the ultimate in service and
solutions for our customers with Sales and
Engineering support worldwide. For more
about Shinko please visit our website at
www.shinko.com.
Sikama International Inc.
Booth #: 710
118 E. Gutierrez Street
Santa Barbara, CA 93101
(P) 805-962-1000
(E) sales@sikama.com
(W) www.sikama.com
For the past 33 years Sikama International has
been in the business of designing,
manufacturing and marketing solder reflow &
curing systems, wafer flux coaters and wafer
washers. We are recognized around the world
for our reliable small footprint machines. Our
ovens feature a patented conduction plus
convection heating technology and are used for
Wafer Bumping, LED Die Reflow, BGA Reballing, High Density Package Reflow, Lid
Attach, Fluxless Gold Tin Reflow, Lead Frame
Reflow and Epoxy Curing and many other
applications. Please stop by Sikama booth
number 710 to discuss your reflow soldering or
curing requirements.
SMART Microsystems
Booth #: 328
141 Innovation Drive
Elyria, OH 44035
(P) 440-865-0352
(E) kasey@smartmicrosystems.com
(W) www.smartmicrosystems.com
SMART Microsystems creates turn-key
solutions for customer’s microelectronic sensor
assembly and packaging hurdles in order to
move technology from development to
manufacturing. Leveraging a highly
experienced engineering team along with stateof-the-art facilities and equipment, SMART
Microsystems accelerates prototyping, test, and
the transition of new microelectronic sensor
product to manufacturing
SOMACIS Inc.
Booth #: 323
13500 Danielson Street
Poway, 92064 CA, USA
(P) 858-513-2200
(E) info@somacis.com
(W) www.somacis.com
For more than forty years, SOMACIS has been
a dynamic company producing high-tech PCBs
and delivering innovative solutions. SOMACIS,
headquartered in Italy, is one of the leading
PCB manufacturers, with more than 800
employees and production plants in Italy
(SOMACIS SpA), USA (SOMACIS Inc.) and
China (DSG PCB Co., Ltd.). SOMACIS is a
worldwide partner supplying HDI, rigid, rigidflex and flex PCBs for time critical and mass
production requirements.
Sonoscan, Inc.
Booth #: 516
2149 E. Pratt Blvd.,
Elk Grove Village, IL 60007 USA
(P) 847-437-6400
(E) jlykowski@sonoscan.com
(W) www.sonoscan.com
Founded in 1973 and headquartered in
Chicago, IL, Sonoscan®, Inc. is a worldwide
leader and innovator in Acoustic Micro Imaging
(AMI) technology. Sonoscan manufactures and
markets acoustic microscope instruments and
accessories to nondestructively inspect and
analyze products. Our C-SAM® scanning
acoustic microscope provides unmatched
accuracy and robustness setting the standard
in AMI for the inspection of products for hidden
internal defects such as poor bonding,
delaminations between layers, cracks and
voids. In addition, Sonoscan offers analytical
services through regional testing laboratories in
Asia, Europe and the U.S. and educational
workshops for beginners to advanced on AMI
technology.
SST International
Booth #: 600
9801 Everest Street
Downey, CA 90242
(P) 562-803-3361
(E) Sales@sstinternational.com
(W) www.sstinternational.com
SST International manufactures
vacuum/pressure furnaces for high-reliability
microelectronic package assembly. SST’s
furnaces create void-free solder joints without
flux. Applications include eutectic die attach,
hermetic package sealing, lead-free soldering,
fiber-optic component soldering, glass-to-metal
sealing, high temperature brazing, high vacuum
MEMS package sealing with getter activation,
wafer bonding and solar cell attach. Let SST
International partner with you to assist you in
achieving the highest reliability processes
possible. This partnership offers over 50 years
of soldering experience of SST International
and the dedication to provide you with state of
the art equipment and the best process support
available, worldwide.
Stellar Industries Corp.
Booth #: 500
50 Howe Avenue
Millbury, MA 01527
(P) 508-865-1668
(E) jasong@stellarind.com
(W) www.stellarind.com
Stellar’s custom products include precision
lapped and polished electronic grade ceramics
composed of Alumina, Beryllium Oxide,
Aluminum Nitride, and other specialty
dielectrics. Stellar also provides custom/design
specific metallization services on these
ceramics using a variety of thick film, thin film,
refractory, plated, and Direct Bond Copper
technologies. Stellar is ITAR Registered and
AS9100 Certified. We’ve been in business for
over 30 years and our vertically integrated
facility provides both the agility and flexibility to
help bring your ideas to market. We’ll work
with you from initial consultation and design
phases though prototype and full production
manufacturing. We have the capacity, the
equipment, the team, and the experience to
support your requirements now and in the
future.
Taiyo Ink Mfg. Co., Ltd.
Booth #: 204
2675 Antler Drive
Carson City, NV 89701
(P) 775-885-9959
(E) dano@taiyo-america.com
(W) www.taiyoink.co.jp/english/index.html
Taiyo Ink is the market leader in the solder
mask field. Printed wiring boards are used in a
variety of electronics products, from cellular
phones, PCs, and other IT equipment, to digital
household appliances and in-vehicle electronic
equipment. Solder mask is an essential
element in these printed wiring boards. Taiyo
Ink is a chemical manufacturer that boasts a
50% share in the global solder resist industry.
Tanaka Precious Metals
Booth #: 106
235 Vineyard Court, Suite 150
Morgan Hill, CA 95037
(P) 408-779-0461
(E) tki-usa@ml.tanaka.co.jp
(W) www.tanaka.co.jp/english
Tanaka Precious Metals started out as a gold
and silver exchange 130 years ago, expanding
rapidly into industrial products. Tanaka’s
products can be found in many areas such as
automobiles, electrical appliances, smart
phones, networking equipment, and medical
devices. Semiconductor package interconnect
technology, physics of sputtering technology
and precious metal film formation methods are
few of the technologies Tanaka Precious
Metals developed and produced over the years
and specialize in today. An example of
products dominating global markets today;
Bonding wires, Rivet contact materials and Fuel
cell catalysts. We are keeping an eye on the
future…The Future is Precious.
Tango Systems, Inc.
Booth #: 809
2363 Bering Drive
San Jose, CA 95131 USA
(P) 408-526-2330
(E) hmueller@tangosystems.com
(W) www.tangosystems.com
Based in the heart of Silicon Valley, Tango
Systems, Inc., a leading innovator in highperformance, cost-effective PVD systems has
been supplying EMI Shielding process
equipment for over 10 years. The focus on
application areas include PVD process
solutions in the Advanced Packaging, Hard
Disk Drive, Ultra-thin films, Interposers and
TSV markets. Customers benefit from Tango
Systems’ TSV processing through ease of
maintenance, high throughput, lowest Cost-ofOwnership (CoO), high tool availability, active
wafer cooling and low temperature PVD
processing.
TDK Corporation
Booth #: 207
475 Half Day Road, Suite 300
Lincolnshire, IL 60069
(P) 847-795-2163
(E) philip.couts@us.tdk.com
(W) www.tdk.com/fa.php
TDK, a leader in Factory Automation solutions
for both Front End & Back End, offers the
AFM-15 Flip Chip Ultrasonic Gold-to-Gold
Interconnect (GGI) Bonder which provides a
low temperature, precision, high reliability, die
assembly process. TDK flip chip GGI process
provides up to a 70% form factor size reduction
and superior electrical high frequency
performance compared to other methods.
Applications include mobile phones, wireless
devices, RF, sensors & more. TDK TAS N2
Purge 300mm loadports, purge shelves, and
stand-alone CAVs reduces FAB wafer process
oxidation and cross contamination. TDK has
over 10 years’ experience with this process and
has worked with leading FOUP manufactures
to provide the best process solution.
Technic, Inc.
Booth #: 316
300 Park East Drive
Woonsocket, RI 02895
(P) 401-769-7000
(E) jfrick@technic.com
(W) www.technic.com
Certified facility, manufactures metal powders
and flakes for the electronics, electrical,
jewelry, medical, dental and other industries.
Our team of customer driven chemists,
engineers, and technicians operate out of a
93,000 Sq. ft. (8,640 Sq. m.) facility located in
Woonsocket, Rhode Island, USA. With over 60
years of experience in the industry, Technic
can analyze your application requirements and
develop new or customized products as well
as modify existing products to fit your specific
application needs.
Teledyne Microelectronic
Technologies
Booth #: 404
1425 Higgs Road
Lewisburg, TN 37091
(P) 931.359.4531
(E) microelectronics@teledyne.com
(W) www.teledynemicro.com
For half a century, Teledyne Microelectronics
has produced millions of microelectronic
hybrids that have contributed to countless
hours of history. We continue to build on our
rich heritage of advanced manufacturing
technologies. Our microelectronics packaging
experience is combined with circuit card
assembly manufacturing and printed circuit
board manufacturing. Together, we provide a
complete, vertically integrated advanced
electronic manufacturing solution.
Teledyne Microwave Solutions
Booth #: 404
1274 Terra Bella Avenue
Mountain View, CA 94043
(P) 650.962.6944
(E) microwave@teledyne.com
(W) www.teledynemicrowave.com
For over three decades, Teledyne Microwave
Solutions has built a strong heritage delivering
advanced value added services to the military,
space and industry sectors of aerospace. With
test capabilities up to 40 GHz, TMS offers chip
and wire assembly of products ranging from
single die through complex hybrids which can
then be submitted to a combination of
customer-specified RF and DC testing and
environmental screening. TMS offers a
complete portfolio of screening/testing services
for device sorting, labeling, lead forming and
tinning, and tape-and-reel packaging, as well
as LAT services on packaged devices or baredie, including diodes, transistors, and MMICs.
Torrey Hills Technologies, LLC
Booth #: 816
6370 Lusk Blvd, Suite F-111
San Diego/CA/92121 USA
(P) 858-558-6666
(E) song@torreyhillstech.com
(W) www.torreyhillstech.com
Torrey Hills Technologies, LLC is a leader in
developing and delivering quality yet extremely
affordable materials, fabricated parts, and
equipment for multiple industries. The
company's core business includes refractory
metal heat sinks (CuW, CuMo, CMC, CPC),
fabricated microelectronics packaging
components, molybdenum, tungsten and their
alloy materials, and furnace equipment for
electronics and solar cell industry.
TPT Wire Bonder
Booth #: 406
704 Ginesi Dr., Suite 11a
Morganville, NJ 07751-1249
(P) 732-536-3964
(E) tptwirebonder@cwitechsales.com
(W) www.tpt-wirebonder.com/start.html
TPT Wire Bonders’ are used in many leading
Universities, Institutes, Aerospace & Medical
Device companies and Semiconductor
Laboratories around the world. Relying on over
25 years of wire bonding knowhow, TPT
designs and manufactures a complete range of
manual and semi-automatic wire bonder
machines. These all digital Bench Top systems
use one bond head for bonding in Ball/Wedge
or Wedge/Wedge bonding modes. With only a
tool change, TPT’s HB05/HB10/HB16 models
are all capable of Ball/Bump, Wedge, and
Ribbon bonding for Fine Wire applications and
TPT’s HB30 model is for Heavy Wire Wedge
bonding applications. Ideal for start-ups, R&D
Laboratories, pilot and small scale production
lines.
Tresky Corporation
Booth #: 408
704 Ginesi Drive, Suite 11A
Morganville, NJ 07751
(P) 732-536-8600
(E) sales@tresky.com
(W) www.tresky.com
For more than 30 years, Tresky has been
providing pick & place and die bonding
solutions. It's flagship FC3 platform can deliver
alignment accuracy of 0.5um, forces up to
50kg, and run applications such as eutectic die
attach, flip chip, thermosonic, epoxy die attach
with bondline thickness, 2.5/3D, and many
more. The T-6000L (8um @ 3s) and T-8000
(5um @ 3s) are full-automated platforms
targeted at R&D, low and medium volume
production capable of running the same
applications.
Unisem
Booth #: 205
1284 Forgewood Ave.
Sunnyavle, CA 94089
(P) 1-408-734-3222
(E) info@unisemgroup.com
(W) www.unisemgroup.com
Unisem is a global provider of semiconductor
assembly and test services for many of the
world’s most successful electronics companies.
Unisem offers an integrated suite of packaging
and test services such as wafer bumping, wafer
probing, wafer grinding, a wide range of
leadframe and substrate IC packaging, wafer
level CSP and RF, analog, digital and mixedsignal test services. Our turnkey services
include design, assembly, test, failure analysis,
and electrical and thermal characterization.
With approximately 7,000 employees
worldwide, Unisem has factory locations in
Ipoh, Malaysia; Chengdu, People’s Republic of
China and Batam, Indonesia. The company is
headquartered in Kuala Lumpur, Malaysia.
University of South Florida
Marine Sciences
Booth #: 327
140 7th ave so
St Petersburg, FL 33701
(P) 727-480-5135
(E) dfries@usf.edu
(W) www.marine.usf.edu
Educational and Research in Marine
Technology, Sensors, and Mobile Autonomous
Systems
UTZ Technologies, Inc
Booth #: 822
4 Peckman rd
Little Falls, NJ 07424
(P) 973-339-1100
(E) cad@utz.com
(W) www.utz.com
UTZ is premier manufacture of Thick Film
Screens (PE) and Solder Paste Stencils (SMT).
Established in 1968 UTZ has the most diverse
manufacturing capabilities inside the US, which
leads to more comprehensive solutions to
printing problems and allow's UTZ to utilize
multiple manufacturing avenues to insure our
customers get the best technology for their
application. UTZ's focus is to solve the
challenges and drawbacks in the industry and
to bring solutions and new products to the
industry.
West Bond, Inc.
Booth #: 317
1551 S. Harris Court
Anaheim, CA 92806
(P) 714-978-1551
(E) sales@westbond.com
(W) www.westbond.com
Design and Manufacturer of Automatic,
Semiautomatic, and Manual ESD protected
Microelectronic Assembly and Test Equipment
and Accessories since 1966. Ultrasonic,
Thermosonic, and Thermocompression
Wire/Ribbon Bonders, Eutectic and Epoxy Die
Bonders, Insulated Wire Bonders, and Pull
Testers, LED Illuminators, Heated and Cold
Workholders, Temperature Controllers,
Ultrasonic Transducers, Ultrasonic Power
Supplies, and Wire Despoolers. Visit:
www.westbond.com
XYZTEC, Inc
Booth #: 417
36 Balch Ave
Groveland, MA 01834
(P) 978-880-2598
(E) tom.haley@xyztec.com
(W) www.xyztec.com
If you are looking for a bond tester that offers
the latest technology advancements, clever
innovations and the utmost flexibility, XYZTEC
is your solution. Technology---fiducial pattern
recognition, automation, high sample rate 24 bit
ADC and high speed (50mm/sec) axes speed
Innovation---Rotating Measurement Unit (RMU)
that holds up to 6 sensors that are software
selectable. Change from test to test in seconds.
No more cartridges and their inherent wear
issues! Flexibility---Support for 25+ test types,
12” fully automated wafer test system, up to
500Kg shear capability and the most advanced
software available.
YINCAE Advanced Materials,
LLC
Booth #: 409
19 Walker Way
Albany, NY, 12205
(P) 518-452-2880
(E) info@yincae.com
(W) www.yincae.com
Founded & headquartered in Albany, New
York, YINCAE Advanced Materials is a leading
manufacturer and supplier of high-performance
coatings, adhesives and electronic materials
used in the microchip & optoelectronic devices.
YINCAE products provide new technologies to
support manufacturing processes from wafer
level, to package level, to board level and final
devices while facilitating smarter and faster
production and supporting green initiatives.
Products: •Solder Joint Encapsulants
•Underfill Materials •Die Attach Adhesives
•Conformal Coatings •TIM •Optical Adhesive
•Board Level Assembly •Anti-Warpage
Materials •Nanofilm
Yole Développement
Booth #: 626
75 cours Emile Zola
69110 Villeurbanne, France
(P) +33 472 83 01 80
(E) robert@yole.fr
(W) www.yole.fr
About Yole Développement Founded in 1998,
Yole Développement has grown to become a
group of companies providing marketing,
technology and strategy consulting, media and
corporate finance services. With a strong focus
on emerging applications using silicon and/or
micro manufacturing, the Yole Développement
group has expanded to include more than 50
collaborators worldwide covering MEMS,
Compound Semiconductors, LED, Image
Sensors, Optoelectronics, Microfluidics &
Medical, Photovoltaics, Advanced Packaging,
Manufacturing, Nanomaterials and Power
Electronics. The group supports industrial
companies, investors and R&D organizations
worldwide to help them understand markets
and follow technology trends to develop their
business. CONTACTS - Consulting &
Financial Services: Jean-Christophe Eloy(
eloy@yole.fr) - Reports business: David
Jourdan (jourdan@yole.fr) - Press relations:
Sandrine Leroy (leroy@yole.fr)
YXLON FeinFocus
Booth #: 200
5675 Hudson Industrial Parkway
Hudson, OH 44236
(P) 234-284-7881
(E) sheri.martin@yxlon.com
(W) www.yxlon.com
YXLON is a leading supplier of industrial X-Ray
inspection and CT solutions for the nondestructive testing of materials and electronics.
The YXLON product portfolio includes the
Y.Cheetah and Y.Cougar which offer effortless
high-quality X-Ray imaging for a wide range of
continuous inspection tasks. The Y.Cheetah
and Y.Cougar combine proprietary FeinFocus
technology with advanced high-speed flat panel
detector technology. Within seconds, the
systems adapt between inspection tasks-failure
analysis, research and development, process
control and product testing including QuickScan
mode.
Zeta Instruments, Inc
Booth #: 407
2528 Qume Drive Suite 12
San Jose, CA 94546
(P) 408-573-7285
(E) marketing@zeta-inst.com
(W) www.zeta-inst.com
Zeta Instruments designs and manufactures
Multi-Mode optical profilers and defect
inspection systems for multiple high-technology
industries, including: advanced semiconductor
packaging, high-brightness LEDs, advanced
glass manufacturing, solar, microfluidics and
data storage. Our optical profilers are used for
roughness, step height and other surface
metrology applications.
Download