STRAIN EFFECTS ON HOLE MOBILITY OF SILICON AND

advertisement
STRAIN EFFECTS ON HOLE MOBILITY OF SILICON AND GERMANIUM P-TYPE
METAL-OXIDE-SEMICONDUCTOR FIELD-EFFECT-TRANSISTORS
By
GUANGYU SUN
A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL
OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT
OF THE REQUIREMENTS FOR THE DEGREE OF
DOCTOR OF PHILOSOPHY
UNIVERSITY OF FLORIDA
2007
1
c 2007 Guangyu Sun
°
2
To my dear wife Anita, and my parents
3
ACKNOWLEDGMENTS
I am grateful to all the people who made this dissertation possible and because of
whom my graduate experience has been one that I will cherish forever.
First and foremost I thank my advisor, Dr. Scott E. Thompson, for giving me an
invaluable opportunity to work on challenging and extremely interesting projects over the
past four years. He has always made himself available for help and advice and there has
never been an occasion when I have knocked on his door and he has not given me time.
He taught me how to solve a problem starting from a simple model, and how to develop it.
It has been a pleasure to work with and learn from such an extraordinary individual.
I thank Dr. Jerry G. Fossum, Dr. Huikai Xie, Dr. Christopher Stanton, and Dr.
Jing Guo for agreeing to serve on my dissertation committee and for sparing their
invaluable time reviewing the manuscript. I also thank Dr. Toshi Nishida for a lot of
helpful discussions and kind help.
My colleagues have given me a lot of assistance in the course of my Ph.D. studies.
Dr. Yongke Sun helped me greatly to understand the physics model, and we always had
fruitful discussions. Dr. Toshi Numata also gave me good advice and some insightful
ideas. I also thank Jisong Lim, Sagar Suthram, and all other group members who made
my life here more interesting.
I acknowledge help and support from some of the staff members, in particular,
Shannon Chillingworth, Teresa Stevens and Marcy Lee, who gave me much indispensable
assistance.
I owe my deepest thanks to my family. I thank my mother and father, and my wife,
Anita, who have always stood by me. I thank them for all their love and support. Words
cannot express the gratitude I owe them.
It is impossible to remember all, and I apologize to those I have inadvertently left
out.
4
TABLE OF CONTENTS
page
ACKNOWLEDGMENTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4
LIST OF FIGURES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
7
LIST OF TABLES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
11
ABSTRACT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
12
CHAPTER
1
2
INTRODUCTION AND OVERVIEW . . . . . . . . . . . . . . . . . . . . . . .
14
1.1
1.2
1.3
1.4
.
.
.
.
15
17
18
19
K · P MODEL AND HOLE MOBILITY . . . . . . . . . . . . . . . . . . . . . .
21
2.1
.
.
.
.
.
.
.
.
.
.
.
21
21
25
28
32
32
33
34
34
36
38
STRAIN EFFECTS ON SILICON P-MOSFETS . . . . . . . . . . . . . . . . .
39
3.1
40
40
41
42
42
48
48
49
56
56
60
60
2.2
2.3
2.4
3
3.2
3.3
History of Strain in Semiconductors .
Apply Strain to A Transistor . . . . .
Main Contributions of My Research .
Brief Description of The Dissertation
The k · p Method . . . . . . . . . . .
2.1.1 Introduction to k · p Method .
2.1.2 Kane’s Model . . . . . . . . .
2.1.3 Luttinger-Kohn’s Hamiltonian
Hole Mobility in Inversion Layers . .
2.2.1 Self-consistent Procedure . . .
2.2.2 Hole Mobility . . . . . . . . .
Scattering Mechanisms . . . . . . . .
2.3.1 Phonon Scattering . . . . . . .
2.3.2 Surface Roughness Scattering
Summary . . . . . . . . . . . . . . .
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
Piezoresistance Coefficients and Hole Mobility . . . .
3.1.1 Piezoresistance Coefficients . . . . . . . . . . .
3.1.2 Hole Mobility vs Surface Orientation . . . . .
3.1.3 Hole Mobility and Vertical Electric Field . . .
3.1.4 Strain-enhanced Hole Mobility . . . . . . . . .
Bulk Silicon Valence Band Structure . . . . . . . . .
3.2.1 Dispersion Relation . . . . . . . . . . . . . . .
3.2.2 Hole Effective Masses . . . . . . . . . . . . . .
3.2.3 Valence Band under Super Low Strain . . . . .
3.2.4 Energy Contours . . . . . . . . . . . . . . . .
Strain Effects on Silicon Inversion Layers . . . . . . .
3.3.1 Quantum Confinement and Subband Splitting
5
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
64
67
73
77
78
STRAIN EFFECTS ON NON-CLASSICAL DEVICES . . . . . . . . . . . . . .
80
4.1
.
.
.
.
.
.
.
82
82
83
85
87
89
93
STRAIN EFFECTS ON GERMANIUM P-MOSFETS . . . . . . . . . . . . . .
95
3.4
4
4.2
4.3
5
5.1
5.2
5.3
5.4
6
3.3.2 Confinement of (110) Si . . . . . . . . .
3.3.3 Strain-induced Hole Repopulation . . .
3.3.4 Scattering Rate . . . . . . . . . . . . .
3.3.5 Mass and Scattering Rate Contribution
Summary . . . . . . . . . . . . . . . . . . . .
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
Single Gate SOI pMOS . . . . . . . . . . . . . . . . . .
4.1.1 Hole Mobility vs Silicon Thickness . . . . . . . .
4.1.2 Strain-enhanced Hole Mobility of SOI SG-pMOS
Double-gate p-MOSFETs . . . . . . . . . . . . . . . .
4.2.1 (001) SDG pMOS . . . . . . . . . . . . . . . . .
4.2.2 Strain Effect on FinFETs . . . . . . . . . . . . .
Summary . . . . . . . . . . . . . . . . . . . . . . . . .
Germanium Hole Mobility . . . . . . . . . . . .
5.1.1 Biaxial Tensile Stress . . . . . . . . . . .
5.1.2 Biaxial Compressive Stress . . . . . . . .
5.1.3 Uniaxial Compressive Stress . . . . . . .
Strain Altered Bulk Ge Valence Band Structure
5.2.1 E-k Diagrams . . . . . . . . . . . . . . .
5.2.2 Effective Mass . . . . . . . . . . . . . . .
5.2.3 Energy Contours . . . . . . . . . . . . .
Discussion Of Hole Mobility Enhancement . . .
5.3.1 Strain-induced Subband Splitting . . . .
5.3.2 Biaxial Stress on (001) Ge . . . . . . . .
5.3.3 Uniaxial Compression on (001) Ge . . . .
5.3.4 Uniaxial Compression on (110) Ge . . . .
Summary . . . . . . . . . . . . . . . . . . . . .
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
96
96
97
100
100
102
102
107
110
110
111
112
114
114
SUMMARY AND SUGGESTIONS TO FUTURE WORK . . . . . . . . . . . . 119
6.1
6.2
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
Recommendations for Future Work . . . . . . . . . . . . . . . . . . . . . . 121
APPENDIX
A
STRESS AND STRAIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
B
PIEZORESISTANCE
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
REFERENCES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
BIOGRAPHICAL SKETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
6
LIST OF FIGURES
Figure
page
1-1 Schematic diagram of biaxial tensile stressed Si-MOSFET on relaxed Si1−x Gex
layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
17
1-2 Uniaxial stressed Si-MOSFET with Si1−x Gex Source/Drain or highly stressed
capping layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
18
3-1 Hole mobility vs device surface orientation for relaxed silicon . . . . . . . . . . .
41
3-2 Hole mobility vs inversion charge density for relaxed silicon. Both measurements
and simulation show larger mobility on (110) devices. . . . . . . . . . . . . . . .
43
3-3 Hole mobility vs stress with inversion charge density 1 × 1013 /cm2 . . . . . . . .
44
3-4 Calculated strain induced hole mobility enhancement factor vs. experimental
data for (001)–oriented pMOS. . . . . . . . . . . . . . . . . . . . . . . . . . . .
45
3-5 Hole mobility enhancement factor vs uniaxial stress for different channel doping.
45
3-6 Calculated strain induced hole mobility enhancement factor vs. stress for (001)–
oriented pMOS with different inversion charge density. . . . . . . . . . . . . . .
47
3-7 E-k relation for silicon under (a) no stress; (b) 1GPa biaxial tensile stress; and
(c) 1GPa uniaxial compressive stress. . . . . . . . . . . . . . . . . . . . . . . . .
50
3-8 Normalized E-k diagram of the top band under different amount of stress. Larger
stress warps more region of the band. The energy at Γ point for all curves is
set to zero only for comparison purpose. . . . . . . . . . . . . . . . . . . . . . . 51
3-9 Channel direction effective masses for bulk silicon under (a) biaxial tensile stress;
and (b) uniaxial compressive stress. . . . . . . . . . . . . . . . . . . . . . . . . . 52
3-10 Two-dimensional density-of-states effective masses for bulk silicon under (a) biaxial tensile stress; and (b) uniaxial compressive stress. . . . . . . . . . . . . . .
53
3-11 Out-of-plane effective masses for bulk silicon under (a) biaxial tensile stress;
and (b) uniaxial compressive stress. . . . . . . . . . . . . . . . . . . . . . . . . .
54
3-12 Hole effective mass change under very small stress. The change in this stress
region explains the “discontinuity” of the hole effective mass between the relaxed and highly stressed Si. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
57
3-13 The 25meV energy contours for unstressed Si: (a) Heavy-hole; (b) Light-hole. .
58
3-14 The 25meV energy contours for biaxial tensile stressed Si: (a) Top band; (b)
Bottom band. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
59
7
3-15 The 25meV energy contours for uniaxially compressive stressed Si: (a) Top band;
(b) Bottom band. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
3-16 Quantum well and subbands energy levels under transverse electric field. . . . .
61
3-17 Schematic plot of strain effect on subband splitting, the field effect is additive
to uniaxial compression and subtractive to biaxial tension. . . . . . . . . . . . .
64
3-18 Subband splitting between the top two subbands under different stress. . . . . .
65
3-19 Out-of-plane effective masses for h110i surface oriented bulk silicon under uniaxial compressive stress. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
66
3-20 The 2D energy contours (25, 50, 75, and 100 meV) for bulk (001)-Si. Uniaxial
compressive stress changes hole effective mass more significantly than biaxial
tensile stress. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
68
3-21 Confined 2D energy contours (25, 50, 75, and 100 meV) for (001)-Si. The contours are identical to the bulk counterparts. . . . . . . . . . . . . . . . . . . . .
69
3-22 The 2D energy contours (25, 50, 75, and 100 meV) for bulk (110)-Si under (a)
no stress; (b) uniaxial stress along h 110i; and (c) uniaxial stress along h111i. . .
70
3-23 Confined 2D energy contours (25, 50, 75, and 100 meV) for (110)-Si. The confined contours are totally different from their bulk counterparts which suggests
significant confinement effect. . . . . . . . . . . . . . . . . . . . . . . . . . . . .
71
3-24 Ground state subband hole population under different stress. . . . . . . . . . . .
72
3-25 Stress effect on the 2 dimensional density-of-states of the ground state subband.
74
3-26 Two dimensional density-of-states at E=4kT. . . . . . . . . . . . . . . . . . . .
75
3-27 Strain effect on (a) acoustic phonon, and (b) optical phonon scattering rate. . .
76
3-28 Strain effect on surface roughness scattering rate. . . . . . . . . . . . . . . . . .
77
3-29 Hole mobility gain contribution from (a) effective mass reduction; and (b) phonon
scattering rate suppression for p-MOSFETs under biaxial and uniaxial stress. . 79
4-1 Hole mobility vs SOI thickness for single gate SOI pMOS. The mobility decreases
with the thickness due to structural confinement. . . . . . . . . . . . . . . . . . 83
4-2 Hole mobility for single gate SOI pMOS vs uniaxial stress at charge density p =
1 × 1013 /cm2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
84
4-3 Hole mobility enhancement factor of UTB SOI SG devices vs uniaxial compressive stress at charge density p = 1 × 1013 /cm2 . . . . . . . . . . . . . . . . . . . .
85
4-4 Subband splitting UTB SOI SG devices vs uniaxial compressive stress at charge
density p = 1 × 1013 /cm2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
86
8
4-5 Comparison of the subband splitting of double gate and single gate MOSFETs.
87
4-6 Hole mobility of SDG devices under uniaxial compressive stress at charge density p = 1 × 1013 /cm2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
88
4-7 Hole mobility enhancement factor of SDG MOSFETs vs uniaxial compressive
stress at charge density p = 1 × 1013 /cm2 . . . . . . . . . . . . . . . . . . . . . .
89
4-8 Hole mobility of FinFETs under uniaxial stress compared with bulk (110)-oriented
devices at charge density p = 1 × 1013 /cm2 . . . . . . . . . . . . . . . . . . . . . 90
4-9 Hole mobility enhancement factor of FinFETs under uniaxial compressive stress
at charge density p = 1 × 1013 /cm2 . . . . . . . . . . . . . . . . . . . . . . . . . .
91
4-10 Hole mobility gain contribution from effective mass and phonon scattering suppression under uniaxial compression for (110)/h110i FinFETs compared with
SG (110)/h110i p-MOSFETs at charge density p = 1 × 1013 /cm2 . . . . . . . . .
92
5-1 Germanium hole mobility vs effective electric field. . . . . . . . . . . . . . . . .
97
5-2 Germanium and silicon hole mobility under biaxial tensile stress where the inversion hole concentration is 1 × 1013 /cm2 . . . . . . . . . . . . . . . . . . . . . .
98
5-3 Germanium and silicon hole mobility under biaxial compressive stress where
the inversion hole concentration is 1 × 1013 /cm2 . . . . . . . . . . . . . . . . . . .
99
5-4 Germanium and silicon hole mobility on (001)-oriented device under uniaxial
compressive stress where the inversion hole concentration is 1 × 1013 /cm2 . . . . 100
5-5 Germanium and silicon hole mobility on (110)-oriented device under uniaxial
compressive stress where the inversion hole concentration is 1 × 1013 /cm2 . . . . 101
5-6 E–k diagrams for Ge under (a) no stress; (b) 1 GPa biaxial tensile stress; (c) 1
GPa biaxial compressive stress; and (d) 1 GPa uniaxial compressive stress. . . . 103
5-7 Conductivity effective mass vs biaxial tensile stress: (a) Channel direction (<110>)
and (b) out-of-plane direction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
5-8 Conductivity effective mass vs biaxial compressive stress: (a) Channel direction
(<110>) and (b) out-of-plane direction. . . . . . . . . . . . . . . . . . . . . . . 105
5-9 Conductivity effective mass vs uniaxial compressive stress: (a) Channel direction (<110>) and (b) out-of-plane direction. . . . . . . . . . . . . . . . . . . . . 106
5-10 25meV energy contours for unstressed Ge: (a) Heavy-hole; (b) Light-hole. . . . . 108
5-11 25meV energy contours for biaxial compressive stressed Ge: (a) Top band; (b)
Bottom band. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108
9
5-12 25meV energy contours for biaxial tensile stressed Ge: (a) Top band; (b) Bottom band. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
5-13 25meV energy contours for uniaxially compressive stressed Ge: (a) Top band;
(b) Bottom band. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
5-14 Ge subband splitting under different stress. . . . . . . . . . . . . . . . . . . . . . 110
5-15 Normalized ground state subband E-k diagram vs biaxial compressive stress. . . 112
5-16 Two dimensional density-of-states of the ground state subband for Si and Ge at
(a)E=5meV; (b)E=2kT=52meV under uniaxial compressive stress. . . . . . . . 113
5-17 Phonon scattering rate vs uniaxial compressive stress: (a) Acoustic phonon,
and (b) optical phonon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
5-18 Surface roughness scattering rate vs uniaxial compressive stress for Ge and Si. . 116
5-19 Mobility enhancement contribution from effective mass change (solid lines) and
phonon scattering rate change (dashed lines) for Si and Ge under uniaxial compressive stress. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
5-20 Confined 2D energy contours for (001)–oriented Ge pMOS with uniaxial compressive stress. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
5-21 Confined 2D energy contours for (110)–oriented Ge pMOS with uniaxial compressive stress. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
A-1 Stress distribution on crystals. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
10
LIST OF TABLES
Table
page
2-1 Luttinger-Kohn parameters, deformation potentials and split-off energy for silicon and germanium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
31
3-1 Calculated and measured piezoresistance coefficients for Si pMOSFETs with
(001) or (110) surface orientation. The first value of each pair is from measurements and the second is from calculation. . . . . . . . . . . . . . . . . . . . . . .
40
A-1 Elastic stiffnesses Cij in units of 1011 N/m2 and compliances Sij in units of 10−11 m2 /N 126
11
Abstract of Dissertation Presented to the Graduate School
of the University of Florida in Partial Fulfillment of the
Requirements for the Degree of Doctor of Philosophy
STRAIN EFFECTS ON HOLE MOBILITY OF SILICON AND GERMANIUM P-TYPE
METAL-OXIDE-SEMICONDUCTOR FIELD-EFFECT-TRANSISTORS
By
Guangyu Sun
August 2007
Chair: Scott E. Thompson
Major: Electrical and Computer Engineering
My research explores the strain enhanced hole mobility in silicon (Si) and germanium
(Ge) p-type metal-oxide-semiconductor field-effect-transistors (p-MOSFETs). The piezoresistance coefficients are calculated and measured via wafer bending experiments. With
good agreement in the measured and calculated small stress piezoresistance coefficients,
k · p calculations are used to give physical insights into hole mobility enhancement at
large stress (3 GPa for Si and 6 GPa for Ge) for stresses of technological importance:
in-plane biaxial and channel-direction uniaxial stress on (001) and (110)-surface oriented
p-MOSFETs with h110i and h111i channels.
The mathematical definition of strain and stress is introduced and the transformation
between the strain and stress tensor is demonstrated. Self-consistent calculation of
Schrõdinger Equation and Poisson Equation is applied to study the potential and subband
energy levels in the inversion layers. Subband structures, two-dimensional (2D) densityof-states (DOS), hole effective mass, phonon and surface roughness scattering rate are
evaluated numerically and the hole mobility is obtained from a linearization of Boltzmann
Equation.
The results show that hole mobility saturates at large stress. Under biaxial tensile
stress, the hole mobility is degraded at small stress due to the subtractive nature of the
strain and quantum confinement effects. At large stress, hole mobility is improved via the
suppression of the phonon scattering. Biaxial compressive stress improves hole mobility
12
slightly. Uniaxial compressive stress enhances the hole mobility monotonically as the stress
increases. In (001) surface oriented p-MOSFETs, the maximum enhancement factor is
350% for Si and 600% for Ge. The enhancement of (110) p-MOSFETs is smaller than
(001) p-MOSFETs due to the strong quantum confinement and low DOS of the ground
state subband. For (001) p-MOSFETs, the dominant factor to improve the hole mobility
is the hole effective mass reduction at small stress and phonon scattering rate suppression
at large stress. For (110) p-MOSFETs, the hole effective mass and phonon scattering rate
are constant at large stress due to the saturation of the subband splitting and DOS caused
by the strong confinement.
Strain effects on non-classical devices (single-gate (SG) silicon-on-insulator (SOI)
and double-gate (DG) p-MOSFETs) are also investigated. The calculation shows that
the mobility enhancement for SG SOI and DG (001) p-MOSFETs is similar to traditional
Si p-MOSFETs. Hole mobility enhancement in FinFETs is more than traditional (110)
p-MOSFETs due to the subband modulation.
13
CHAPTER 1
INTRODUCTION AND OVERVIEW
Metal-oxide-semiconductor field-effect transistors (MOSFETs) have been scaled
down aggressively to achieve density, speed and power improvement since 1960s [1]. As
the channel length is scaled to submicron even nanoscale level, the simple scaling of
complementary metal-oxide-semiconductor (CMOS) devices brings severe short-channel
effects (SCEs) such as threshold voltage roll-off, degraded subthreshold slope, and drain
induced barrier lowering (DIBL). Oxide thickness has to be reduced to sub-10 nm (about
1 nm in the state-of-the-art technology) and channel doping has to be increased up to
1019 /cm3 in order to maintain good control of the channel [1]. The thin oxide and the
high channel doping result in high vertical electric field in the channel that severely
reduces the carrier mobility. Further scaling of the devices does not bring performance
improvement due to carrier mobility degradation.
With nothing to replace silicon CMOS devices in the near future and the need
to maintain performance improvements and Moore’s law, feature enhanced Si CMOS
technology has been recognized as the driver for the microelectronics industry. Strain is
one key feature to enhance the performance of Si MOSFETs. Biaxial tensile strain has
been investigated both experimentally and theoretically in CMOS technology [2, 3, 4].
It improves the electron mobility [5], but degrades the hole mobility at low stress range
(< 500M P a) [3]. Recently, uniaxial stress has been applied to Intel’s 90, 65, and 45–nm
technologies to improve the drive current without significantly increased manufacturing
complexity [5, 6].
The goal of this dissertation is to provide physical insights into the strain enhanced
hole mobility in Si and Ge p-MOSFETs. Before we investigate the hole mobility, the history of strain technology and the methods to apply strain to a transistor are is discussed
in this chapter. The organization of the dissertation is also introduced.
14
1.1
History of Strain in Semiconductors
The epitaxial growth of semiconductor layers is not new. The basis of nowadays
experimental guide, piezoresistance [7, 8], and the theoretical approach to the strain effect,
i.e., deformation potential theory, can be traced back to the 1950s. But not until in the
early 1980’s did scientists and engineers start to realize that strain could be a powerful
tool to modify the band structure of semiconductors in a beneficial and predictable way
[9, 10].
Deformation potential theory, which defines the concept of strain induced energy
shift of the semiconductor, was first developed to account for the coupling between
the acoustic waves and electrons in solids by Bardeen and Shockley [11], who stated
that the local shift of energy bands by the acoustic phonon would be produced by
an equivalent extrinsic strain, hence the energy shifts by both intrinsic and extrinsic
strain can be described in the same deformation potential framework. The deformation
potential theory was applied by Herring and Vogt [12] in 1955 in their transport studies of
semiconductor conduction bands. A set of symbols, Ξ, was used to label the deformation
potentials. Herring and Vogt [12] also summarized the independent deformation potentials
constrained by symmetry at different conduction band valleys. At the Γ point, another set
of symbols are commonly used: ac , av , b, and d, where av , b, and d are three independent
valence band deformation potentials which have a correspondence to the Luttinger
parameters [13] employed in band calculations. The k · p method we use in this work relies
on these three deformation potentials to account for the strain effects.
Smith measured the piezoresistance coefficients for n– and p–type strained bulk
silicon and germanium in 1954 [7]. This was the first experimental work that studied
strain effects on semiconductor transport. Herring and Vogt used Shockley’s band
model and ascribed the electron mobility change to two strain effects, “electron transfer
effect” and inter-valley scattering rate change caused by valley energy shift [12]. This
15
is essentially the same physics that explains the strain enhanced mobility in silicon
n–channel MOSFETs.
Piezoresistance coefficients are widely used in the industry due to its simplicity in
representing the semiconductor transport properties (mobility, resistance, and et al.)
under strain. It is defined as the relative resistance change with the stress applied on the
semiconductor. Piezoresistance coefficient (π) can be expressed as
π=
1 ∆ρ
σ ρ
(1–1)
where σ is the applied stress and ρ is the resistivity of the semiconductor.
In 1968, Colman [8] measured the piezoresistance coefficients in p–type inversion
layers. This was the first time that strain effect on hole transport was investigated in the
inversion layers. The similarity and difference of the piezoresistance coefficients compared
with the bulk silicon was explained qualitatively in that work.
The first silicon n–channel MOSFET which used biaxial stress to improve the
electron mobility was demonstrated by Welser et al. [14] in 1992. The work showed that
the electron mobility was improved by 2.2 times. A biaxial stressed silicon p–channel
MOSFET was first reported by Nayak et al. [15] in 1993 where the hole mobility was
enhanced by 1.5 times. In 1995, Rim [16] showed the hole mobility enhancement in
silicon p-MOSFETs on top of Si1−x Gex substrate with different germanium components.
The idea of using longitudinal uniaxial stress to improve the performance of MOSFETs
was activated by Ito et al. [17] and Shimizu et al. [18] in the late 1990’s through the
investigations of introducing high stress capping layers deposited on MOSFETs to
induce channel stress. Gannavaram et al. [19] proposed Si1−x Gex in the source and drain
region for higher boron activation and reduced external resistance which also furnished
a technically convenient means to employ uniaxial channel stress. These studies opened
the gate to use strain as active factor in VLSI device design and resulted in extensive
industrial applications.
16
1.2
Apply Strain to A Transistor
Strain in the channel of Si and Ge MOSFETs is achieved by applying mechanical
stress to the wafer. The properties, and relations of strain and stress can be found in
the appendix. Here we first introduce how to apply biaxial and uniaxial stress in Si
MOSFETs.
For (001) wafer, biaxial tensile stress in Si MOSFETs is applied to the channel by
using the Si1−x Gex substrate. The lattice mismatch stretches silicon atoms in both h100i
and h010i directions which is illustrated in Figure 1-1. The percentage of germanium
content in the substrate determines the magnitude of the strain. This in-plane tensile
strain can also be achieved by applying uniaxial compressive stress from the out-of-plane
direction [20] with capping layer. The out-of-plane uniaxial compression is equivalent to
the in-plane biaxial tension in determining the transport properties of Si. The details are
shown in the appendix. For Ge MOSFETs, biaxial tensile stress is not applicable due to
its large lattice constant. Biaxial compressive stress is usually introduced by applying Si
or Si1−x Gex substrate.
Figure 1-1. Schematic diagram of biaxial tensile stressed Si-MOSFET on relaxed Si1−x Gex
layer
Uniaxial stress can be applied from out-of-plane, in-plane longitudinal (parallel to
the channel), or in-plane transverse (perpendicular to the channel) direction. The in-plane
longitudinal stress is applied to the channel by either doping germanium to source and
17
drain or depositing compressive or tensile capping layer on top of the device which is
shown in Figure 1-2 [20].
Figure 1-2. Uniaxial stressed Si-MOSFET with Si1−x Gex Source/Drain or highly stressed
capping layer
Without further clarification, uniaxial stress in this work represents in-plane uniaxial
longitudinal stress. It is normally along h110i since it is the classical channel direction.
Biaxial stress means in-plane biaxial stress. For (110)–oriented wafer, biaxial stress
is employed in both parallel and perpendicular direction to the channel (<110>– and
<100>– directions). The strain in those two directions are not as same as (001)–oriented
wafer (<100>– and <010>–directions) due to the different Young’s Modulus in <110>–
and <100>– directions.
1.3
Main Contributions of My Research
Strain enhanced hole mobility has been reported experimentally at small stress.
Little theoretical work has been done to provide physical insights into hole mobility
enhancement under large stress, especially uniaxial compressive stress. Strain effects on
hybrid ((110)-surface oriented), non-classical and Ge p-MOSFETs are not understood
either. In this work, piezoresistance coefficients are calculated and measured on (110) Si
p-MOSFETs. Physics of uniaxial stress enhanced hole mobility in (110) p-MOSFETs is
18
studied for the first time. The hole mobility dependence on device surface orientation
is calculated and the different quantum confinement effect is discussed. Strain-induced
changes in hole effective mass, subband structures, density-of-states (DOS), phonon and
surface roughness scattering rate are analyzed numerically. The results show that under
uniaxial stress, 350% and 600% mobility enhancement are achieved in (001) Si and Ge
p-MOSFETs, respectively. The more enhancement in Ge p-MOSFETs is due to smaller
hole effective mass of Ge under stress. In (110) Si and Ge p-MOSFETs, it is reported
for the first time that the maximum enhancement factor is only 100% due to the strong
quantum confinement undermining the strain effect.
Strain induced hole mobility enhancement is studied theoretically for the first time
in ultra-thin-body (UTB) non-classical p-MOSFETs, including single-gate (SG) siliconon-insulator (SOI), (001) symmetrical double-gate (SDG) p-MOSFETs, and (110) p-type
FinFETs. For SG SOI p-MOSFETs, the strain effects are as same as traditional Si
p-MOSFETs. For (001) SDG p-MOSFETs and (110) FinFETs, subband modulation
is found when the channel thickness is smaller than 20 nm. As the stress increases,
the mobility enhancement in (001) SDG p-MOSFETs is comparable to traditional
SG p-MOSFETs. For FinFETs, the form factors are much smaller than SG (110) pMOSFETs and the change with stress is larger which suggests more reduction of the
phonon scattering rate. Therefore, the strain-induced hole mobility enhancement (200%) is
larger than single gate (110) p-MOSFETs (100%).
1.4
Brief Description of The Dissertation
The main purpose of my research is to provide a simple but accurate physical
insight into strain effects on hole mobility in Si and Ge inversion layers. We begin by
introducing the physics model. A six-band k · p model with strain effects is derived
and finite difference method (FDM) is introduced briefly. Self-consistent calculation of
Schrödinger Equation and Poisson Equation is discussed. The isotropic approximation of
19
scattering rate calculation is showed. In the calculation of the hole mobility, the KuboGreenwood formula, which is from a linearization of Boltzmann Equation, is introduced.
Strain enhanced hole mobility in single-gate Si p-MOSFETs is then discussed. The
unstrained Si hole mobility versus device surface orientation and vertical electric field is
calculated. Hole mobility under biaxial and uniaxial stress in (001) and (110) p-MOSFETs
is showed. The band structure of bulk silicon under strain is discussed. In the Si inversion
layers, the confined energy contours, subband splitting, hole population in ground state
subband, two-dimensional (2D) density-of-states (DOS), phonon and surface roughness
scattering rate are evaluated. The difference of strain induced hole mobility enhancement
in (001) and (110) p-MOSFETs under biaxial and uniaxial stress is explained.
Uniaxial strain–induced hole mobility enhancement is calculated for UTB nonclassical p-MOSFETs, including single-gate SOI, (001) SDG p-MOSFETs, and (110)
p-type FinFETs. The similarity and difference from the traditional Si p-MOSFETs are
discussed and physical insights are given.
Strain induced hole mobility enhancement in Ge p-MOSFETs is discussed. Unstrained hole mobility in (001) and (110) Ge p-MOSFETs is calculated. Strain effect on
hole mobility in Si1−x Gex with arbitrary Ge components is evaluated. To understand the
physics, the bulk valence band structure and hole effective mass with strain effects are
calculated. In the inversion layers, the subband structure, 2D DOS and scattering rate are
calculated and their relation to hole mobility is analyzed.
We conclude with the results that we obtain in this dissertation and suggest possible
future research on strained Si and Ge.
20
CHAPTER 2
K · P MODEL AND HOLE MOBILITY
Global descriptions of the dispersion relations of bulk materials can be obtained
via pseudo-potential or tight-binding methods [21]. However, such global solution over
the whole Brillouin zone is unnecessary for many aspects of semiconductor electronic
properties. What is needed is the knowledge of the dispersion relations over a small k
around the band extrema [21]. k · p method is widely used in nowadays quantum well and
quantum dots calculation due to its simplicity and accuracy regarding the properties in
the vicinity of conduction band and valence band edges which govern most optical and
electronic phenomena.
To study the uniaxial or biaxial strain effect on hole mobility in the inversion layers,
a 6-band k · p model, Luttinger–Kohn’s Hamiltonian [13], is utilized in this work. In
this chapter, k · p method and the derivation of the luttinger–Kohn’s Hamiltonian is
introduced first. Then the procedure calculating the hole mobility is explained. Finally,
the evaluation of scattering mechanisms, mainly the phonon and surface roughness
scattering, is discussed.
In the calculation of the hole mobility with strain effect in the inversion layers,
Schrödinger Equation and Poisson Equation are solved self-consistently to simulate the
potential energy in the channel. The subband structure and the two-dimensional densityof-states (2D DOS) of each subband are calculated and the scattering relaxation time
is evaluated in k space. Finally, hole mobility is obtained from a linearization of the
Boltzmann equation.
2.1
2.1.1
The k · p Method
Introduction to k · p Method
The k · p method [21, 22, 23] is essentially based on the perturbation theory and
was first introduced by Bardeen [24] and Seitz [25]. It is also referred to as effective mass
theory in literatures. The k · p method is most useful for analyzing the band structure
21
near the extrema (k0 ) of the band. In the case of the band structure near the Γ point, i.e.
valence band edge of silicon and germanium, k0 = 0.
For an electron in a periodic potential
V (r) = V (r + R),
(2–1)
where R = n1 a1 + n2 a2 + n3 a3 , and a1 , a2 , a3 are the lattice vectors, and n1 , n2 , and
n3 are integers, the electron wave function can be described by the Schrödinger equation
"
#
"
#
p2
−h̄2 2
Hψ(r) =
+ V (r) ψ(r) =
∇ + V (r) ψ(r) = E(k)ψ(r)
2m0
2m0
(2–2)
where p = h̄∇/i is the momentum operator, m0 is the free electron mass, and V (r)
represents the potential including the effective lattice periodic potential caused by the
nuclei, ions and core electrons or the potential due to the exchange correlation, impurities,
etc.
The solution of the Schrödinger equation
Hψk (r) = Eψk (r)
(2–3)
ψk (r + R) = eik·r ψk (r)
(2–4)
ψk (r) = eik·r uk (r)
(2–5)
uk (r + R) = uk (r),
(2–6)
satisfies the condition
where
and k is the wave vector. Equations 2–4, 2–5 and 2–6 is the Bloch theorem, which gives
the properties of the wave function of an electron in a periodic potential V (r).
The eigenvalues for Equation 2–3 can be categorized into a series of bands En , n =
1, 2, . . . [26] due to the perturbation of the periodic potential at the Brillouin zone edge.
22
Consider the Schrödinger equation in the nth band with a wave vector k,
"
#
p2
+ V (r) ψnk (r) = En (k)ψnk (r).
2m0
(2–7)
Inserting the Bloch function Equation 2–5 into Equation 2–7, we have
"
#
p2
h̄2 k 2
h̄
+
+
k · p + V (r) unk (r) = En (k)unk (r).
2m0
2m0
m0
(2–8)
Including the spin-orbit interaction term
h̄
(σ × ∇V ) · p
4m20 c2
(2–9)
in the Hamiltonian and simplifying the equation, Equation 2–8 becomes
"
Ã
!
#
p2
h̄2 k 2 h̄k
h̄
h̄
+
+
· p+
(σ × ∇V ) +
(σ × ∇V ) · p + V (r) unk (r)
2
2m0
2m0
m0
4m0 c
4m20 c2
=En (k)unk (r). (2–10)
where c is the speed of light and σ is the Pauli spin matrix. σ has the components [22]


 0 1 


σy = 


σx = 

1 0


 0 −i 


σz = 

i

 1
0
0 

0 −1

(2–11)
Rewriting the Hamiltonian in Equation 2.1.1, we have
[H0 + W (k)]unk = Enk unk ,
(2–12)
h̄
p2
+
(σ × ∇V ) · p + V (r)
2m0 4m20 c2
(2–13)
where
H0 =
and
Ã
!
h̄k
h̄
h̄2 k 2
W (k) =
· p+
(σ
×
∇V
)
+
.
m0
4m0 c2
2m0
Since only W (k) depends on wave vector k, Equation 2–13 can be used to evaluate
the band property at k0 . If the Hamiltonian H0 has a complete set of orthonormal
23
(2–14)
eigenfunctions at k = 0, un0 , i.e.,
H0 un0 = En0 un0 ,
(2–15)
theoretically any function with lattice periodicity can be expanded using eigenfunctions
un0 . Substituting the expression
unk =
X
m
cnm (k)um0
(2–16)
into Equation 2.1.1, multiplying from the left by u∗n0 , integrating and using the orthonormality of the basis functions, we have
X
m
"Ã
!
Ã
!
#
h̄2 k 2
h̄k
h̄
En0 − Enk +
δnm +
· hun0 | p +
(σ × ∇V ) |um0 i cnm (k) = 0.
2m0
m0
4m0 c2
(2–17)
Solving this matrix equation gives us both the exact eigenstates and eigenenergies. As
we mentioned earlier, only the dispersion relations over a small k range around the band
extrema are important describing the electronic properties of the semiconductor. Only
energetically adjacent bands are normally considered when studying the k expansion of
one specific band for simpleness. To pursue acceptable solutions when k increases, one has
to increase the number of the basis states, or consider higher order perturbations, or even
both.
Neglecting the non-diagonal terms in Equation 2–17 for small k, the eigenfunction is
unk = un0 , and the corresponding eigenvalue is given by Enk = En0 +
h̄2 k2
.
2m0
The solution
can be improved using the second order perturbation theory, i.e.
Enk = En0 +
where
X hun0 |H 0 |um0 ihum0 |H 0 |un0 i
h̄2 k 2
+
,
2m0 m6=n
En0 − Em0
Ã
(2–18)
!
h̄k
h̄
H =
· p+
(σ × ∇V ) .
m0
4m0 c2
0
24
(2–19)
³
hun0 | p +
´
h̄
4m0
c2 (σ×∇V
)
|un0 i = 0 was applied in the calculation, which holds for a cubic
lattice periodic Hamiltonian due to the crystal symmetry. If we write
π =p+
h̄
(σ × ∇V )
4m0 c2
(2–20)
the second order eigenenergies can be written as
Enk = En0 +
h̄2 X |πnm · k|2
h̄2 k 2
+ 2
.
2m0
m0 m6=n En0 − Em0
(2–21)
Equation 2–21 can also be expressed as
Enk = En0 +
µ
¶
h̄2 X 1
kα kβ ,
2 α,β m∗ αβ
(2–22)
where
α
β
1
1
2 X πmn
πnm
=
δ
+
.
αβ
m∗
m0
m20 m6=n En0 − Em0
(2–23)
m∗ in Equation 2–23 is the effective mass tensor, and α, β = x, y, z. The effective mass
generally is anisotropic and k–dependent. In the vicinity of the Γ point, sometimes m∗ can
be treated as k-independent, since at this level of approximation, the eigenenergies close to
the Γ point only depend quadratically on k [22, 23].
2.1.2
Kane’s Model
Expanding in a complete set of orthonormal basis states in Equation 2–17 gives exact
solutions of both eigenenergies and eigenfunctions. In reality,it is almost impossible to
include a complete set of basis states, therefore only strongly coupled bands are included
in usual k · p formalism, and the influence of the energetically distant bands is treated as
perturbation.
In Kane’s model for Si, Ge, or III-V semiconductors, four bands are considered as
strongly couples bands–the conduction, heavy-hole (HH), light-hole (LH), and te spinorbit split-off (SO) bands are considered, which have double degeneracy with their spin
counterparts. The rest bands are treated as perturbation and can be analyzed with the
second order perturbation theory.
25
Our goal is to find the eigenvalue E of Equation 2.1.1 with eigenfunction
unk (r) =
X
an un0 (r)
(2–24)
n
The band edge functions un0 (r) are
Conduction band: |S ↑i, |S ↓i for eigenenergy Es (s-type),
Valence band: |X ↑i, |Y ↑i, |Z ↑i, |X ↓i, |Y ↓i, |Z ↓i for eigenenergy Ep (p-type).
Normally the following eight basis functions are chosen
√
√
|iS ↓i, | X−iY
↑i, |Z ↓i, −| X+iY
↑i
2
2
and
√
√
|iS ↑i, −| X+iY
↓i, |Z ↑i, | X−iY
↓i
2
2
The eight basis states for Kane’s model are
1 1
u1 = | , i = |S ↑i = |S ↑i,
2 2
3 3
−1
u2 = | , i = |HH ↑i = √ |(X + iY ) ↑i,
2 2
2
s
2
3 1
−1
u3 = | , i = |LH ↑i = √ |(X + iY ) ↓ +
|Z ↑i,
2 2
3
6
1
1
1 1
u4 = | , i = |SO ↑i = √ |(X + iY ) ↓i + √ |Z ↑i,
2 2
3
3
1 1
u5 = | , − i = |S ↓i = |S ↓i,
2 2
3 3
1
u6 = | , − i = |HH ↓i = √ |(X − iY ) ↓i,
2 2
2
s
3 1
1
2
Z ↓i,
u7 = | , − i = |LH ↓i = √ |(X − iY ) ↑i +
2 2
3
6
1 1
1
1
u8 = | , − i = |SO ↓i = √ |(X − iY ) ↑i − √ |Z ↓i.
2 2
3
3
(2–25)
This set of basis states is a unitary transformation of the basis functions and the eigenfunctions of the Hamiltonian 2–13. The eigenenergies for |Si, |HHi, |LHi and |SOi at
k = 0 are Eg , 0, 0, −∆, respectively, where Eg is the band gap, and the energy of the top
26
of the valence band (HH and LH) is chosen to be 0. ∆ is the split-off band energy, which
is 44meV for Si and 296meV for Ge.
At this level of approximation, the bands are still flat because the Hamiltonian 2–13
is k-independent. Including W (k) in Equation 2–14 into the Hamiltonian, and defining
Kane’s parameter as
P =
−ih̄
hS|πz |Zi,
m0
(2–26)
we obtain a matrix expression for the Hamiltonian H = H0 + W (k), i.e.,




























h̄2 k2
2m0
q
P k+
− √13 P k−
h̄2 k2
2m0
0
− √13 P k+
0
h̄2 k2
2m0
2
P k+
3
0
0
0
0
0
0
0
0
2
P kz
3
0
0
0
Eg +
P k−
q
−
−
q
√1 P kz
3
0
−
q
−
2
P k−
3
0
0
−∆ +
2
P kz
3
−
h̄2 k2
2m0
√1 P kz
3
0
q
√1 P kz
3
0
0
0
0
0
2
P kz
3
0
0
0
0
0
h̄2 k2
2m0
0
q
2
P k+
3
P k−
√1 P k+
3
P k+
h̄2 k2
2m0
0
0
√1 P k−
3
0
h̄2 k2
2m0
0
2
P k−
3
0
0
Eg +
q
0

2
P kz
3
0
√1 P kz
3
−
q
−∆ +
h̄2 k2
2m0



























(2–27)
where k+ = kx + iky , k− = kx − iky , and kx , ky , kz are the cartesian components of k.
The Hamiltonian 2–27 is easy to diagonalize to find the eigenenergies and eigenstates as
functions of k. We have eight eigenenergies, but due to spin degeneracy, there are only
four different eigenenergies listed below. For the conduction band,
Ec = Eg +
h̄2 k 2
,
2mc
1
1
4P 2
2P 2
=
+ 2 + 2
.
mc
m0 3h̄ Eg 3h̄ (Eg + ∆)
(2–28)
For the light hole and split-off bands,
Elh = −
Eso = −∆ −
h̄2 k 2
,
2mlh
h̄2 k 2
,
2mso
1
1
4P 2
=−
+ 2 ;
mlh
m0 3h̄ Eg
(2–29)
1
1
2P 2
=−
+ 2
.
ms0
m0 3h̄ (Eg + ∆)
(2–30)
27
For the heavy hole band we have
Ehh =
h̄2 k 2
,
2mhh
1
1
=
.
mhh
m0
(2–31)
These results are not complete since the effects of higher bands have not been included.
They will be taken into account next when discussing the Luttinger-Kohn model.
2.1.3
Luttinger-Kohn’s Hamiltonian
For Si and Ge hole transport, we are only interested in the six valence bands (doubly
degenerate HH, LH, and SO). The coupling to the two conduction bands in Kane’s
model is ignored due to the large band gap. It is convenient to use Löwdin’s perturbation
method [27] where the six valence bands are treated in class A and the rest bands are put
in class B.
We label class A with subscript n and class B with subscript γ. Wave function uk (r)
can be expanded as
uk (r) =
A
X
an (k)un0 (r) +
n
B
X
aγ (k)uγ0 (r).
(2–32)
γ
Choose the eigenstates for class A, we have
3 3
−1
u1 = | , i = |HH ↑i = √ |(X + iY ) ↑i,
2 2
2
s
3 1
−1
2
u2 = | , i = |LH ↑i = √ |(X + iY ) ↓ +
|Z ↑i,
2 2
3
6
s
3 1
1
2
u3 = | , − i = |LH ↓i = √ |(X − iY ) ↑i +
Z ↓i,
2 2
3
6
3 3
1
u4 = | , − i = |HH ↓i = √ |(X − iY ) ↓i,
2 2
2
1 1
1
1
u5 = | , i = |SO ↑i = √ |(X + iY ) ↓i + √ |Z ↑i,
2 2
3
3
1 1
1
1
u6 = | , − i = |SO ↓i = √ |(X − iY ) ↑i − √ |Z ↓i.
2 2
3
3
With Löwdin’s method we only need to solve the eigenequation
28
(2–33)
A
X
n
A
(Ujn
− Eδjn )an (k) = 0
(2–34)
where
A
Ujn
= Hjn +
B
X
Hjγ Hγn
γ6=j,n
Hjn
E0 − Eγ
= Hjn +
0
0
B
X
Hjγ
Hγn
γ6=j,n
h̄2 k 2
]δjn
= huj0 |H|un0 i = [Ej (0) +
2m0
0
Hjγ
= huj0 |
X h̄kβ β
h̄
k · Π|uγ0 i ∼
pjγ
=
m0
β m0
E0 − Eγ
(j, n ∈ A)
(j ∈ A, γ 6∈ A)
(2–35)
(2–36)
(2–37)
A
= Djn , Djn can be expressed as
Let Ujn
Djn = Ej (0)δjn +
X
αβ
Djn
kα β
(2–38)
αβ
αβ
where Djn
is defined as
αβ
Djn
=
B
X
pαjγ pβγn + pβjγ pαγn
h̄2
δjn δαβ +
2m0
m0 (E0 − Eγ )
γ
(2–39)
To express Djn explicitly, we difine
B
pxxγ pxγx
h̄2
h̄2 X
A0 =
+
2m0 m20 γ E0 − Eγ
B
pyxγ pyγx
h̄2
h̄2 X
B0 =
+
2m0 m20 γ E0 − Eγ
C0 =
B
pxxγ pyγy + pyxγ pxγx
h̄2 X
m20 γ
E0 − Eγ
Then define the Luttinger parameters γ1 , γ2 , and γ3 as
29
(2–40)
h̄2
1
γ1 = (a0 + 2B0 )
2m0
3
2
h̄
1
−
γ2 = (a0 − B0 )
2m0
6
2
h̄
C0
−
γ3 =
2m0
6
−
(2–41)
Finally we obtain the Luttinger-Kohn Hamiltonian

 P +Q


 −S +




R+

H=


0



 − √1 S +

2

 √
−S
R
0
P −Q
0
R
0
P −Q
S
+
2R+
R
√
− 2Q
q
q
√
3 +
S
2
S
+
3
S
2
2Q
√
− 2Q
q
3 +
S
2
√
+
P + Q − 2R
√
− 2R P + δ
− √12 S
0
 |3, 3i
2 2
2R 
 |3, 1i
q
 2 2

3
S

2
 |1, 1i
 2 2
√
2Q 

 |3, −1i

2
1
+  2
√
− 2S 
 |3, −3i
 2
2

0

 |1, 1i
 2 2
√
√1 S
2
P +δ
(2–42)
| 12 , − 12 i
where,
Ã
P =
Ã
!
h̄2
γ1 (kx2 + ky2 + kz2 ),
2m0
!
h̄2
Q=
γ2 (kx2 + ky2 − 2kz2 ),
2m0
à 2 !
√
h̄
R=
3[−γ2 (kx2 − ky2 ) + 2iγ3 kx ky ],
2m0
à 2 !
√
h̄
S=
2 3γ3 (kx − iky )kz .
2m0
(2–43)
When strain is present in the semiconductor, P , Q, R, and S in Equation 2–43 can be
resolved to two parts: k · p terms (Pk , Qk , Rk , and Sk ) and strain terms (P² , Q² , R² , and
S² ). They can be expressed as [13]
30
P = Pk + P²
Q = Qk + Q² ,
R = Rk + R²
S = Sk + S² ,
Ã
Pk =
!
2
h̄
γ1 (kx2 + ky2 + kz2 ),
2m0
Ã
!
h̄2
Qk =
γ2 (kx2 + ky2 − 2kz2 ),
2m0
à 2 !
√
h̄
3[−γ2 (kx2 − ky2 ) + 2iγ3 kx ky ],
Rk =
2m0
à 2 !
√
h̄
Sk =
2 3γ3 (kx − iky )kz ,
2m0
(2–44)
P² = −av (²xx + ²yy + ²zz ),
b
Q² = − (²xx + ²yy − 2²zz ),
√2
3
R² =
b(²xx − ²yy ) − id²xy ,
2
S² = −d(²zx − i²yz ),
where ²ij is the symmetric strain tensor as shown in Chapter 1; av , b, and d are the
Bir-Pikus deformation potentials for valence band; ∆ is the spin-orbit split-off energy, and
the basis function |j, mi denotes the Bloch wave function at the zone center. Energy zero
is taken to be the top of the unstrained valence band. Table 1 shows the parameters for
silicon and germanium [28].
Table 2-1. Luttinger-Kohn parameters, deformation potentials and split-off energy for
silicon and germanium.
γ1
γ2
γ3
av (eV ) b(eV ) d(eV ) ∆(eV )
Si 4.22 0.39 1.44 2.46
-2.35 -5.3
0.044
Ge 13.35 4.25 5.69 2.09
-2.55 -5.3
0.296
31
2.2
2.2.1
Hole Mobility in Inversion Layers
Self-consistent Procedure
For Si or Ge pMOSFETs, holes are confined in the z-direction quantum well formed
by the Si/SiO2 interface and the valence band edge. Since the hole energy is not continu∂
ous along z-direction kz should be replaced by −i ∂z
in Equation 2–45. Coordinate system
transformation is needed to calculate cases with other surface orientation.
Subband energy can be evaluated by solving Schrödinger Equation,
[H(k, z) + V (z)]Ψk (z) = E(k)Ψk (z)
(2–45)
where V (z) defines the potential energy in the quantum well. Triangular potential
approximation is widely used in simulations for simplicity. Stern [29] stated that it should
not be used when mobile charges are present. In order to accurately simulate the potential
in the quantum well, Schrödinger Equation 2–45 is solved self-consistently with Poisson
Equation
d2
q2
V
(z)
=
−
[p(z) − n(z) + ND+ (z) − NA− (z)]
H
dz 2
²
(2–46)
where p(z) and n(z) are mobile hole and electron density, ND+ (z) and NA− (z) are space
charge density.
To numerically evaluate Schrödinger Equation and Poisson Equation, FiniteDifference Method is utilized. The equations are evaluated on a z mesh of Nz points
in the interval (0, zmax ) [3, 30, 31], where zmax here is the sum of the thickness of silicon
layer and oxide layer. This yields a 6Nz × 6Nz eigenvalue problem of the tridiagonal block
form [3]. Schrödinger Equation becomes
32

















·
·
·
·
·
·
0
0
Ĥ+
0
· Ĥ− Ĥi−1 Ĥ+
·
0
Ĥ−
·
0
0
·
·
·
Ĥi
Ĥ− Ĥi+1 Ĥ+
·
·
·



·  ·



· 
  ψi−1

 ·





 ψ

 i−1





 ψ
=
E(k)
ψi 

i




 ψ
ψi+1 

 i+1







· 




· 



·
·
·















(2–47)
where each ψi = ψ(zi ) is a six-component column-vector ψj (zi ), the index j running
over the k · p basis, and Ĥ− , Ĥi , Ĥ+ = Ĥ−+ are 6 × 6 block-diagonal difference operators,
functions of the in-plane wave-vector k.
In principle, the potential V (z) results from three terms: an image-term, vimg (z);
an exchange and correlation potential, Vxc (z); and the Hartree term, VH (z) [3, 30].
Fischetti [3] suggests that the image potential cancels the many-body corrections given by
the exchange and correlation term and the Hartree term is focussed as the solution of the
self-consistent calculation of Schrödinger Equation 2–45 and Poisson Equation 2–46.
2.2.2
Hole Mobility
The hole mobility in inversion layers can be calculated from a linearization of the
Boltzmann equation. The xx component of the mobility tensor can be expressed as [3]
µxx
Ã
×
where ps =
P
ν
Z Eν(0)
X Z 2π
e
Kν (E, φ)
= 2 2
dφ
dE ¯¯ ∂E ¯¯
−∞
4h̄ π kB T ps ν 0
¯ ∂kν ¯
∂Eν
∂Kx
Kν (E,π)
!2
τx(ν) [Kν (E, φ), φ]f0 (E)[1 − f0 (E)]
(2–48)
Kν (E,φ)
pν is the total hole concentration in the inversion layer, pν is the hole
density of subband ν, τx(ν) (K, φ) is the x-component of the momentum relaxation time in
subband ν, and
f0 (E) =
1
1 + exp
33
³
E−EF
kB T
´
(2–49)
is the Fermi-Dirac distribution function.
The evaluation of density-of-states (DOS) and
∂E
∂k
term need further consideration.
In energy space a maximum kinetic energy Emax for each subband is selected in order to
account correctly for the thermal occupation of the top-most subband. In our calculation,
we assumed Emax = 120meV and divided the energy space to 1200 uniform parts, then
evaluated DOS and
∂E
∂k
in each part.
2.3
Scattering Mechanisms
Phonon scattering, impurity scattering and surface roughness scattering are involved
in CMOS transistors. In the linear region of p-MOSFETs, neither charged-impurity nor
neutral-impurity scattering is important [3], hence they are neglected in our calculation.
Only phonon scattering and surface roughness scattering are investigated.
2.3.1
Phonon Scattering
Carriers migrate through the crystal with properties determined by the periodic
potential associated with the array of ions at the lattice points [32]. Vibration of the ions
about their equilibrium positions introduces interaction between electrons and the ions.
This interaction induces transitions between different states. And this process is called
phonon scattering.
Phonon scattering can be categorized to acoustic phonon scattering and optical
phonon scattering based on the phase of the vibration of the 2 different atoms in one
primitive cell. Both contribute to the momentum relaxation time. Acoustic phonon
energy is negligible compared with carrier energy, while optical phonon energy is about
61.3meV for silicon and 37meV for germanium at long wavelength limit. When strain is
applied to the crystal, the HH and LH degeneracy is lifted at Γ−point, as we mentioned
previously. Therefore, the inter-band optical phonon scattering will be limited due to
band splitting and mobility is enhanced. In fact, this is only significant when strain is
high and the band splitting is beyond the optical phonon energy. The reasoning will be
shown in the following section. One should also notice that the anisotropic nature of
34
silicon valence bands makes the modeling of scattering rate a complicated task. Since we
only need considering scattering in Γ valley for holes with the diamond crystal structure,
equipartition approximation [32] is used where we replace the anisotropic hole-phonon
matrix element with appropriate angle-averaged quantities.
First for acoustic phonon, relaxation time τ can be expressed as [3]
2πkB T Ξ2ef f X
1
=
Fµν ρν [Eµ (K)]
τac
h̄ρu2l
ν
(2–50)
where Ξef f = 7.18eV is the effective acoustic deformation potential of the valence
band, ρν is the 2-dimensional density-of-states of subband ν which is defined as
ρν = θ[E −
Eν(0) ]
1 Z 2π
Kν (E, ψ)
dψ ¯¯ ∂E ¯¯
2
(2π) 0
¯ ν¯
(2–51)
∂K Kν (E,ψ)
The two-dimensional carrier scattering rate for the phonon-assisted transitions of a
carrier from an initial state in the µ-th subband and a final state in the ν-th subband is
proportional to the form factor
Fµν =
1
1 Z +∞
=
|Iµν (qz )|2 dqz ,
2πWµν
2π −∞
(2–52)
where
Z
Iµν (qz ) =
(µ)
(ν)
ψk (z) eiqz z ψk (z) dz.
(2–53)
The form factor Fµν illustrates the interaction between initial state and final state
(µ)
(ν)
due to the wave function overlapping. where ψk (z) or ψk (z) is the envolope function
at k for subband µ or ν, respectively. z is the coordinate perpendicular to the Si/SiO2
interface, and qz is the change in the component perpenticular to the interfaces of the
carrier momentum in a transition from the µ-th subband to the ν-th subband.
Following Price’s pioneering work, Wµν can be expressed as
35
Z zmax
¯
¯2 ¯
¯2
1
(µ)
(ν)
= 2π
dz ¯¯ψk (z)¯¯ ¯¯ψk (z)¯¯ ,
Wµν
0
(2–54)
If the final state is also µ-th subband, Wµµ represents the effective quantum well
width for the µ-th subband.
Since the acoustic phonon energy is small compared with subband splitting or
even the thermal energy kT , acoustic phonon scattering is an equal-energy scattering
process [32]. The scattering rate solely depends on the density-of-states of the final
states. Strain effect on acoustic phonon scattering is smaller than that on optical phonon
scattering which is shown in our simulation.
Second, the optical phonon scattering relaxation time is expressed as [3]
µ
¶
2 X
πDop
1
1 1
1 − f0 [Eµ (K) ∓ h̄ωop ]
=
nop + ±
ρν [Eµ (K) ∓ h̄ωop ] ×
τop
ρωop ν
1 − f0 [Eµ (K)]
2 2
(2–55)
For absorption and emission, respectively, where Dop = 13.24 × 108 eV /cm is the
optical deformation potential constant of the valence band, h̄ωop = 61.3eV is the silicon
optical phonon energy. Optical phonon scattering is not significantly reduced for stress
< 1GP a since the subband splitting is less than the optical phonon energy.
2.3.2
Surface Roughness Scattering
In MOSFETs, carriers are confined close to the channel-oxide interface in strong
inversion region. Thermal movement of carriers also results in collision with the interface
and hence affects the carrier mobility. This interaction depends heavily on the roughness
of the interface. Therefore, this scattering mechanism is called surface roughness scattering. Surface roughness scattering can be neglected when the transverse electric field
is small, since not many carriers are present and they are not strongly confined to the
channel-oxide interface. But when the electric field is high (carrier density over 1013 /cm2 ),
surface roughness scattering must be taken into account in mobility calculation.
36
Unfortunately, people are still unable to model the roughness scattering accurately [33, 3]. The early formulation by Prange and Nee, Saitoh, and Ando is still the best
model available [3]. Different roughness parameters are used in different references. Here,
we’ll use Gamiz’ model and corresponding parameters [34].
As we know, the surface roughness scattering is caused by the roughness of the
surface and hence the abrupt potential change at Si/SiO2 interface. 2 assumptions are
needed in the simplification of the problem [34]. The first assumption is to consider
the interface between silicon and oxide is an abrupt boundary which randomly varies
according to a function ∆ of the parallel coordinate, r, ∆(r). Another assumption is that
the potential V (z) close to the interface can be expressed by
V [z + ∆(r)] = V (z) + ∆(r)
∂V (z)
∂z
(2–56)
The scattering rate can be expressed as [34],
¯
¯
¯Z
¯
1
πX
∆Vm (z)
2¯
=
ρν [Eµ (K)]e ¯ ψν (z)
ψµ (z)dz ¯¯ ∆2m L2
µ
¯
¯
τSR (k)
h̄ ν
∆m
Z 2π
dθ
×
³
´ .
2 2 3/2
0
1 + L 2q
In this equation
∆Vm (z)
∆m
(2–57)
is approximately equal to the effective electric field, which
means the scattering rate is proportional to the square of the electric field. Therefore,
surface roughness scattering becomes more significant when electric field reaches higher
level.
Different values for L and ∆ are taken by different researchers to explain the experimental data. Here, we use L = 20.4nm and ∆ = 4nm [3] for silicon as suggested by
Fischetti. n = 0.5 [34] is chosen in this work.
37
2.4
Summary
The physics model used in the dissertation is reviewed in this chapter. The history
of k · p method is introduced. The derivation of Kane’s model and Luttinger-Kohn
Hamiltonian is showed. The calculation procedure of the hole mobility in inversion layers
is introduced. Phonon and surface roughness scattering are taken into account as the main
scattering mechanisms. Form factors and their impact on scattering rate are discussed.
In this work, MATLAB and C codes are written to calculate the hole effective mass,
band and subband structures, and hole mobility in Si and Ge p-MOSFETs. To calculate
hole mobility dependence on device surface orientation, coordinate transformation is
performed to calculate hole mobility in (110), (111), and (112) oriented Si and Ge to
account for the different quantum confinement conditions. For different surfaces, different
surface roughness parameters are utilized to fit the interface roughness condition. DOS
and form factors are calculated in the whole k space.
38
CHAPTER 3
STRAIN EFFECTS ON SILICON P-MOSFETS
Hole transport in the inversion layer of silicon p-MOSFETs under arbitrary stress and
device surface orientation is discussed in this chapter. Piezoresistance coefficients are calculated and measured at stress up to 300 MPa via wafer-bending experiments for stresses
of technological importance: uniaxial compressive and biaxial tensile stress on (001)- and
(110)-surface oriented devices. With good agreement in the measured vs calculated low
stress piezoresistance coefficients, k · p calculation are used to give insight at high stress
(1–3 GPa). The results show that biaxial tensile stress degrades the hole mobility at low
stress due to the quantum confinement offsetting the strain effect. Uniaxial stress on
(001)/<110>, (110)/<110>, and (110)/<111> devices improves the hole mobility monotonically. Unstressed (110)-oriented devices have superior mobility over (001)-oriented
devices due to the strong quantum confinement causing smaller conductivity effective mass
of the holes. When the stress is present, the confinement of (110)-oriented devices undermines the stress effect, hence the enhancement factor for (110)-oriented devices is less than
(001)-oriented devices. Hole mobility enhancement saturates as the stress increases. At
high stress, the maximum hole mobility for (001)/<110>, (110)/<110>, and (110)/<111>
devices is comparable.
Physical insights are given to explain the difference between biaxial and uniaxial
stress, and the difference of (110) and (001) p-MOSFETs. The bulk silicon valence band
structure under uniaxial compressive or biaxial tensile strain is shown and the difference
in effective mass change is calculated. The difference of the vertical electric field (quantum
confienment) effect on (001)- and (110)-oriented p-MOSFETs is explained. Subband
splitting, ground state subband hole population, and two dimensional (2D) density-ofstates (DOS) of subbands are calculated under stress. Scattering rate change with stress is
also discussed.
39
3.1
Piezoresistance Coefficients and Hole Mobility
Calculated and measured piezoresistance coefficients, and calculated hole mobility vs
stress and surface orientation of Si p-MOSFETs are covered in this section.
3.1.1
Piezoresistance Coefficients
Piezoresistance coefficients are widely used as an effective approach characterizing
the resistance change at low stress [7, 8]. Table 3-1 compared measured and calculated
piezoresistance coefficients. In the measurements, the stress is applied using 4-point
or concentric-ring bending of the wafers. The piezoresistance coefficients are obtained
through the linear regression of the measured resistance versus stress. The actual strain
in the devices is measured through the resistance change of a strain gauge mounted on
the sample, and via the laser-detected curvature change of bent wafer. In Table 3-1, πL ,
πT , and πBiaxial represent longitudinal, transverse, and biaxial piezoresistance coefficients,
respectively.
Table 3-1. Calculated and measured piezoresistance coefficients for Si pMOSFETs with
(001) or (110) surface orientation. The first value of each pair is from
measurements and the second is from calculation.
Substrate
(001)
(110)
Channel
<110>
<110>
<110>
[6]
πL
71.7 /72.2
27.3/34
86 [35] /79.1
-5.1/-6.6
-50 [35] / − 43
πT
-33.8 [6] / − 45.8
πBiaxial
40/35.7
35.7/28.7
15.1/10.2
Both measured and calculated results in table 3-1 show that under uniaxial longitudinal stress, (110)/<111> devices have the larget piezoresistance coefficient, followed by
the (001)/<110> devices. The piezoresistance coefficient of (110)/<110> devices is the
lowest. Under uniaxial transverse stress, the piezoresistance coefficients are smaller than
longitudial stress for all p-MOSFETs. The table also shows that the biaxial tensile strain
increases the channel resistance and hence degrades the hole mobility at low stress.
40
3.1.2
Hole Mobility vs Surface Orientation
Surface and channel orientation dependence of electron and hole mobility has been
investigated experimentally since 1960’s. Sato [36] reported that for p-type devices with
<110> channel, the mobility is the highest in (110)-oriented and lowest in (001)-oriented
p-MOSFETs. The hole mobility on a few surface orientations is simulated and compared
with Sato’s experimental results [36, 37] in Figure 3-1. Good agreement is found between
the calculation and the experimental data. Two different surface roughness models [3, 34]
are used in the calculation. Both models are quite accurate and in the following results,
Gamiz’ surface roughness model is utilized.
Mobility / cm2/V•sec
250
200
With Gamiz’ surface
roughness model
150
100
50
0
(001)
Sato, 1969
With Fischetti’s surface
roughness model
(112)
(111)
(110)
Surface Orientation
Figure 3-1. Hole mobility vs device surface orientation for relaxed silicon with <110>
channel. The hole mobility is highest on (110) and lowest on (001) devices.
Different surface roughness scattering models are used in the simulation(solid:
Gamiz 1999; dotted: Fischetti 2003).
41
3.1.3
Hole Mobility and Vertical Electric Field
The calculated hole mobility versus the effective electric field of unstressed (001)/<110>
and (110)/<110> Si p-MOSFETs are compared with experimental mobility curves [38,
39, 40] in Figure 3-2. The agreement between the calculation and the experimental results
suggests this work use reasonable scattering mechanisms. Normally (110)–Si has smoother
interface with the gate dielectric materials [41, 42], hence the surface roughness scattering
rate is lower than (001)–oriented devices. Lee [43] even suggested that the effective field in
(110)–oriented devices is smaller than (001)–oriented devices, which also indicates smaller
surface roughness scattering rate considering that the scattering rate is inversely proportional to the effective electric field [3, 34]. The smaller surface roughness scattering rate
is partly responsible for the higher hole mobility on unstressed (110)–oriented deices than
that of the (001)–oriented devices. To fit the appropriate surface roughness condition, the
roughness parameters used are L = 2.6nm, ∆ = 0.4nm for (001)–oriented p-MOSFETs
and L = 1.03nm, ∆ = 0.27nm for (110)–oriented p-MOSFETs in this work. The same surface roughness scattering model is utilized in the mobility calculation even when the strain
is present, assuming that the process-induced strain (uniaxial strain) does not change the
Si/SiO2 interface properties [3, 44].
3.1.4
Strain-enhanced Hole Mobility
Figure 3-3 shows the hole mobility versus (up to 3 GPa) stress at inversion charge
density pinv = 1 × 1013 /cm2 and channel doping density ND = 1 × 1017 /cm3 ) for
(001)/<110>, (110)/<110>, and (110)/<111> p-MOSFETs. Uniaxial compressive
stress improves the hole mobility monotonically as the stress increases. The hole mobility
enhancement saturates at large stress (3 GPa). Under uniaxial longitudinal compressive stress, the maximum hole mobility enhancement factor is 350% for (001)/<110>
p-MOSFETs, 150% for (110)/<111> p-MOSFETs, and 100% for (110)/<110> pMOSFETs. At 3 GPa uniaxial stress, (001) and (110) p-MOSFETs have comparable hole
42
350
Mobility / cm2/V•sec
(110)/<110>
300
Yang, 2003
250
200
Mizuno, 2003
150
100
50
Takagi, 1992
(001)/<110>
0
0
0.3
0.6
0.9
Effective Electric Field / MV/cm
Figure 3-2. Hole mobility vs inversion charge density for relaxed silicon. Both
measurements and simulation show larger mobility on (110) devices.
43
mobility. Under biaxial tensile stress, the maximum hole mobility enhancement factor is
about 100%.
400
Mobility / cm2/V•sec
(110)/<111> uniaxial
(110)/<110> uniaxial
300
200
(001)/<110> uniaxial
100
(001)/<110> biaxial
0
0
1
2
3
Stress / GPa
Figure 3-3. Hole mobility vs stress with inversion charge density 1 × 1013 /cm2 . The
enhancement factor is the highest for (001)/<110> devices and lowest for
(110)/<110> devices. At high stress (3 GPa), three uniaxial stress cases have
similar hole mobility.
Calculated strain-induced hole mobility enhancement factor of (001)–oriented
pMOSFETs is shown in Figure 3-4 comparing with experimental data [45, 46, 47, 48, 49,
5, 50, 51]. Good agreement is found between the calculated and measured data.
In Figure 3-3 and 3-4, the channel doping density is set to be 1 × 1017 /cm3 in the
calculation. The inversion charge density is 1 × 1013 /cm2 . In contemporary technology, the
actual channel doping is up to 1×1019 /cm3 . The mobility enhancement factor is calculated
with different channel doping density at inversion charge density of 1 × 1013 /cm2 in
Figure 3-5. The enhancement factors are similar for all three doping levels. For simplicity,
the rest of the work will use channel doping 1 × 1017 /cm3 .
44
Mobility Enhanement ∆µ/µ
4
3
uniaxial
Uniaxial Compression
Lee 2005
2
Rim 2003
Washington 2006
biaxial
Thompson 2005
1
Smith 2005
Biaxial Tension
Wang 2004
0
-1
0
0.01
Strain εxx=εyy
0.02
Figure 3-4. Calculated strain induced hole mobility enhancement factor vs. experimental
data for (001)–oriented pMOS.
Mobility Enhancement
Factor ∆µ/µ
4
ND=1x1016/cm3
3
ND=1x1017/cm3
2
ND=1x1018/cm3
1
pinv = 1×1013/cm2 (001)/<110>
0
0
1
2
3
Uniaxial Compressive Stress / GPa
Figure 3-5. Hole mobility enhancement factor vs uniaxial stress for different channel
doping.
45
Figure 3-6 compares the hole mobility enhancement factor for different inversion
charge density. The figure shows that the enhancement factor decreases as the inversion
charge density increases. This is because with more inversion charge, holes are populated
to the higher energy levels in the valence band, while the stress only affects the vicinities
of Γ point. This causes the average change of the hole effective mass decrease. More
inversion charges increases the electric field in the channel which undermines the strain
effect. The detail will be addressed later in this chapter.
With the strain-induced hole mobility change as we showed here, physical insights
of the difference of biaxial and uniaxial stress, and the difference between (001)– and
(110)–oriented pMOSFETs is given in the next sections. Strain-induced silicon valence
band structure change, subband structure caused by the transverse electric field, and the
hole effective mass and scattering rate change with the strain are analyzed.
46
3
Mobility Enhancement
Factor ∆µ/µ
pinv=6x1012/cm2
pinv=1x1013/cm2
2
pinv=1.2x1013/cm2
1
pinv=1.5x1013/cm2
pinv=2x1013/cm2
0
0
1
2
3
Biaxial Tensile Stress / GPa
(a)
Mobility Enhancement
Factor ∆µ/µ
4
pinv=6x1012/cm2
pinv=1x1013/cm2
pinv=1.2x1013/cm2
3
2
pinv=1.5x1013/cm2
pinv=2x1013/cm2
1
0
-1
0
1
2
3
Biaxial Tensile Stress / GPa
(b)
Figure 3-6. Calculated strain induced hole mobility enhancement factor vs. stress for
(001)–oriented pMOS with different inversion charge density.
47
3.2
Bulk Silicon Valence Band Structure
Carrier mobility is determined by the scattering rate and effective mass of the carrier
based on Drude’s model:
µ=
eτ
m∗
(3–1)
where τ is the carrier momentum relaxation time that is inversely proportional to
scattering rate and m∗ is the carrier conductivity effective mass. In silicon inversion layers,
carriers are confined in a potential such that their motion in one direction (perpendicular
to the silicon—oxide interface) is restricted and the electronic behavior of these carriers
is typically two-dimensional (2D). The mobility of the 2D hole gas is different from
the 3D holes in bulk silicon. But the simplicity of the bulk band structure calculation
can give us insights to how the effective masses of the holes change with the stress
and help understand how the quantum confinement modifies the subband position and
splitting which is important to 2D hole mobility. Therefore, bulk valence band structure is
discussed in this section before we move to the Si pMOSFETs.
3.2.1
Dispersion Relation
The E-k diagrams of unstressed, 1 GPa biaxial tensile stressed and 1 GPa uniaxial
compressive stressed silicon valence band are shown in figure 3-7. For the unstressed
silicon, the Heavy-hole (HH) and Light-hole (LH) bands are degenerate at Γpoint. This
is 4-fold degeneracy taking into account the spin. The Spin-orbital Split-off (SO) band is
44 meV below HH and LH bands. When stress is applied, the degeneracy of HH and LH
bands is lifted as shown in figure 3-7 (b) and (c). These two bands are also referred to as
the top and the second band indicating the split energy levels. The band splitting results
in the band warping which changes the effective mass of the holes. In the meantime,
the splitting causes the repopulation of the holes in the system. When the stress is large
and the splitting is high, most holes will locate in the top band based on Fermi-Dirac
distribution function as long as the density-of-states (DOS) of the topmost band is not
48
significantly less than that of the next bands. The repopulation of the holes alters the
average hole effective mass and phonon scattering change.
Figure 3-7 shows that the stress only affect the band property close to Γ point. The
figures show that away from the zone center, the band structure is almost identical to the
unstressed silicon. Figure 3-8 illustrates that more region around the zone center and more
carriers are affected by the stress when the stress increases. Therefore, the strain effect
cannot be explained only by the properties at the Γ point. Instead, the statistics of the
whole system should be considered. Figure 3-8 suggests that as the stress increases from
500 MPa to 1.5 GPa, the band warping and effective mass at Γ point change very little.
The next subsection will also show this. In the process, more holes are affected by the
stress, therefore the average hole behaviors will still change. We showed in Figure 3-6 that
the mobility enhancement factor decreases as the amount of inversion charges increases.
This can be understood as follows. For devices with more inversion charges, more holes
occupy the higher energy states when the inversion charge density increases. At the same
stress, the average change induced by stress is smaller than the cases with fewer inversion
charges.
3.2.2
Hole Effective Masses
To better understand the stress effect on hole transport, the hole effective masses
at Γ–point of top and bottom bands under different stress are shown in figure 3-9, 3-10
and 3-11. Figure 3-9 shows the < 110 >–direction effective masses, figure 3-10 shows the
2-dimensional density-of-state effective masses, and the out-of-plane < 001 >–direction
effective masses are illustrated in figure 3-11.
Figure 3-9, 3-10 and 3-11 also suggest that with strain, the HH and LH bands are
no longer “pure” HH or LH anymore due to strong coupling of the wave functions. The
property of each band depends heavily on the crystal orientation. A single band can
be HH-like along one direction, but LH-like along another. In general, if the crystal
shows compressive strain along one direction, the top band is LH-like along this specific
49
<001>
<110>
Energy / eV
0.0
−0.2
−0.4
−0.2
0
Wave vector k / 2π/a
0.2
(a)
<001>
<110>
Energy / eV
0.0
−0.2
−0.4
−0.2
0
Wave vector k / 2π/a
0.2
(b)
<001>
<110>
Energy / eV
0.0
−0.2
−0.4
−0.2
0
Wave vector k / 2π/a
0.2
(c)
Figure 3-7. E-k relation for silicon under (a) no stress; (b) 1GPa biaxial tensile stress; and
(c) 1GPa uniaxial compressive stress.
50
0.05
<110>
<1−10>
0
0
−0.05
500 MPa
1.0 GPa
−0.1
1.5 GPa
Biaxial Tensile Stress
−0.15
−0.1
0
0.1
(a)
0.05
<110>
<1−10>
Energy / meV
0
0
−0.05
500 MPa
1.0 GPa
−0.1
1.5 GPa
Uniaxial Compressive Stress
−0.15
−0.1
0
Wave Vector k / A−1
0.1
(b)
Figure 3-8. Normalized E-k diagram of the top band under different amount of stress.
Larger stress warps more region of the band. The energy at Γ point for all
curves is set to zero only for comparison purpose.
51
0.3
Effective Mass m*/m0
Top Band
Bottom Band
0.2
0
0.5
1
1.5
2
Biaxial Tensile Stress / GPa
2.5
3
2.5
3
(a)
0.9
Effective Mass m*/m0
0.8
0.7
0.6
Bottom Band
0.5
0.4
0.3
0.2
Top Band
0.1
0
0.5
1
1.5
2
Uniaxial Compressive Stress / GPa
(b)
Figure 3-9. Channel direction effective masses for bulk silicon under (a) biaxial tensile
stress; and (b) uniaxial compressive stress.
52
0.3
Effective Mass m*/m0
Top Band
Bottom Band
0.2
0
0.5
1
1.5
2
Biaxial Tensile Stress / GPa
2.5
3
(a)
0.65
Effective Mass m*/m0
0.6
0.55
Top Band
0.5
0.45
0.4
Bottom Band
0.35
0.3
0.25
0
0.5
1
1.5
2
2.5
Uniaxial Compressive Stress / GPa
3
(b)
Figure 3-10. Two-dimensional density-of-states effective masses for bulk silicon under (a)
biaxial tensile stress; and (b) uniaxial compressive stress.
53
Effective Mass m*/m0
Bottom Band
0.25
0.2
Top Band
0.15
0
0.5
1
1.5
2
2.5
3
2.5
3
Biaxial Tensile Stress / GPa
(a)
0.3
Effective Mass m*/m0
Top Band
0.2
Bottom Band
0
0.5
1
1.5
2
Uniaxial Compressive Stress / GPa
(b)
Figure 3-11. Out-of-plane effective masses for bulk silicon under (a) biaxial tensile stress;
and (b) uniaxial compressive stress.
54
direction; if the crystal experiences tensile strain along a direction, the top band is HH-like
along this direction. For example, when in-plane biaxial tensile stress is applied to the
x–y plane of a silicon sample, in x–y plane, the sample experiences tensile strain, the
top band is HH-like in-plane, as shown in Figure 3-9. Along z–direction (out-of-plane),
the sample shows tensile strain as we shoed in Chapter 1. The top band is LH-like along
this direction as shown in Figure 3-11. This is a very important issue for biaxial tensile
stress. As we will show in the following section, the transverse electric field effect offsets
the biaxial stress effect and causes the hole mobility degradation at low stress. Similar
analysis can be applied to uniaxial compressive stress. Under uniaxial compression, the
<110> channel direction experiences compressive strain, therefore the top band is LH-like
along the channel. At the same time, the out-of-plane direction experiences tensile strain,
the top band is HH-like out-of-plane.
The spin-orbital split-off (SO) band is also coupled with HH and LH band when
strain is present. This band is not as important due to the large energy separation from
HH and LH bands and hence very few holes locate in this band.
As stated previously that normal MOSFETs have < 110 > direction as the channel
direction, conductivity effective mass along this direction affects the hole mobility directly
according to Drude’s model, a.k.a equation 3–1. Figure 3-9 tells us that compared with
the biaxial tensile stress, the uniaxial compressive stress induces much smaller top band
effective mass which suggests greater hole mobility improvement is expected for uniaxial
compressive stress.
Two-dimensional density-of-states effective masses as shown in Figure 3-10 gives a
qualitative estimation of the 2D density-of-states of the holes in each band. The 2D DOS
is not directly related to the bulk electronic properties of semiconductors. In the inversion
layers, large 2D DOS of the ground state subband suggests most holes locating in this
subband. This reduces inter-subband phonon scattering possibility. In the meantime,
if the ground state subband has very low conductivity effective mass, the large DOS
55
actually lowers the average hole conductivity effective mass in the system. 2D DOS will be
explained in a lot detail in the following section.
<001> out-of-plane effective mass is a important parameter defining the subband
energy levels in the inversion layer as will explained in the following section.
3.2.3
Valence Band under Super Low Strain
If we compare the hole effective mass of unstrained bulk Si with Figure 3-9, 3-10
and 3-11, a significant discontinuity can be found at low strain (stress < 1 MPa). As
we mentioned before, HH band becomes LH-like along <110> direction under uniaxial
compression and along out-of-plane direction under biaxial tension. In the hole mobility
calculation, the discontinuity of the hole effective mass is also a confusing question,
although it is not important in industries since any single transistor would have much
larger strain in the channel in the process. To understand the “discontinuity”, hole
effective mass at Γ point is calculated for super low stress [52] as shown in 3-12.
The figures show that under uniaxial compressive stress, the HH band is always
HH-like and the LH band is always LH-like out-of-plane. Along the <110> direction, the
effective mass curves cross over at about 3 kPa where HH band becomes LH-like and LH
band becomes HH-like. Biaxial tensile stress acts differently. The in-plane HH and LH
bands are still HH-like and LH-like, respectively. In the out-of-plane direction, the HH
band becomes LH-like and LH band becomes HH-like as the stress is greater than 1 kPa.
As the stress increases beyond 100 kPa, the conductivity effective mass does not
change at Γ point. The average effective mass change of the system comes from the fact
that more region of the bands is affected by the stress.
3.2.4
Energy Contours
Strain altered energy contours are straightforward describing the strain effect on
semiconductor band structures. The 25meV energy contours for heavy-hole and light-hole
bands are shown in figure 3-13 for unstressed bulk silicon. The anisotropic nature of the Si
valence band is clearly shown. Using the simple parabolic approximation E =
56
h̄2 k2
,
2m∗
where
0.6
0.55
Effective Mass / m*/m0
0.5
0.45
0.4
<110>HH
<110>LH
<001>HH
<001>LH
0.35
0.3
0.25
0.2
0.15
0.1
0.01
0.1
1
10
100
10
100
Biaxial Tensile Stress / kPa
(a)
0.6
0.55
Effective Mass / m*/m0
0.5
0.45
0.4
<110>HH
<110>LH
<001>HH
<001>LH
0.35
0.3
0.25
0.2
0.15
0.1
0.01
0.1
1
Uniaxial Compressive Stress / kPa
(b)
Figure 3-12. Hole effective mass change under very small stress. The change in this stress
region explains the “discontinuity” of the hole effective mass between the
relaxed and highly stressed Si.
57
E stands for energy and m∗ is the effective mass, the thinner the contour is along one
direction, the smaller the effective mass is along that direction. The contours show that
the HH band has very large effective mass along <110> direction. When stress is applied,
the band structure is distorted as shown in figure 3-14 for 1GPa biaxial tensile stress and
figure 3-15 for 1GPa uniaxial compressive stress. The contours, as well as E-k relation
curves, show strain induces lower conductivity effective mass along <110> direction for
the top band. The effective masses for unstressed bulk silicon are 0.59m0 for HH and
0.15m0 for LH band where m0 is the free electron mass. Those two numbers become
0.28m0 /0.22m0 for 1GPa biaxial tensile stress and 0.11m0 /0.2m0 for 1GPa uniaxial
compressive stress. The bottom band effective masses do not show enhancement compared
with the LH band mass of the unstressed silicon. Again, for bulk electronic transport,
uniaxial compressive stress should enhance the hole mobility as stress increases, since the
top band is LH-like along <110> direction. Biaxial tensile stress does not have the mass
advantage since the top band is HH-like in-plane. The possible mobility enhancement
comes only from band splitting causing phonon scattering rate reduction. For holes in the
inversion layers, the statement is still true as we will show next.
(a)
(b)
Figure 3-13. The 25meV energy contours for unstressed Si: (a) Heavy-hole; (b) Light-hole.
58
(a)
(b)
Figure 3-14. The 25meV energy contours for biaxial tensile stressed Si: (a) Top band; (b)
Bottom band.
(a)
(b)
Figure 3-15. The 25meV energy contours for uniaxially compressive stressed Si: (a) Top
band; (b) Bottom band.
59
3.3
Strain Effects on Silicon Inversion Layers
As we mentioned before, in the silicon inversion layers, the carriers are confined in
the potential well formed by the Si/SiO2 interface and the valence band edge of the
silicon. The motion of the holes is continuous in the horizontal x–y plane, but quantized
in z-direction [29]. The quantum confinement leaves a set of two dimensional subbands in
k-space (kx , ky ). The subband structures are affected by both the stress and the transverse
electric field. In pMOSFETs, the topmost two subbands (4 counting the spin), the
ground state and the first excited state subbands, contain most of the holes and analyzing
those two subbands gives us qualitative understanding of the hole transport properties.
Therefore, those two subbands will be focused in the following discussions to explain the
strain effects, although up to 12 subbands are actually taken into account in the hole
mobility calculation.
In this section, we shall explain why the biaxial tensile stress and uniaxial compressive stress affect the subband structure and the hole mobility differently under the
transverse electric field. The difference of (001) and (110)–oriented devices under uniaxial
stress will also be studied.
3.3.1
Quantum Confinement and Subband Splitting
Carriers are confined in a potential well very close to the silicon surface in the
inversion layer of a MOSFET. The well is formed by the oxide barrier and the silicon
conduction band or valence band depending on electrons or holes as the carriers [1].
Taking holes (pMOS) as an example, the conduction and valence bands bend up (bend
down for nMOS) towards the surface due to the applied negative gate bias at strong
inversion region. This means hole motion in z-direction that is perpendicular to the silicon
surface is restricted and thus is quantized, leaving only a 2-dimensional momentum or kvector which characterizes motion in a plane normal to the confining potential. Therefore,
the inversion layer holes (or electrons) must be treated quantum mechanically as 2dimensional (2D). Figure 3-16 illustrates the quantum well and quantized subbands [51],
60
qualitatively. The band bending at the surface can be characterized as potential V (z).
Accurate modeling of V (z) requires numerically solving coupled Schrodinger’s and
Poisson’s Equations self-consistently. This is one of the main efforts of this work. The
details of the method can be found in Chapter 2.
SiO 2/Si
0
Top of the well
Hole Energy / ( meV)
Hole distribution of the ground state
-20
E(j=0)
 2 hqE s 
3 
Ej = 
 j + 
4  
 4 2 m z 
2/3
x
-40
E(j=1)
-60
Valence band edge
Hole energy level shift due to
quantization
Figure 3-16. Quantum well and subbands energy levels under transverse electric field.
The complex calculation procedure somehow prevents people understanding the
physics behind stress and electric field effect. To give the physical insights into the relation
between those two effects, triangular potential approximation is utilized to estimate the
subband energy levels. The triangular potential approximation states that the band
bending solely depends on depletion charges under subthreshold condition when the
mobile charge density is negligible. The potential V (z) is replaced by eEef f z, where Eef f
is the effective electric field in the depletion layer. Triangular potential approximation
61
is not a good approximation calculating accurate subband energies for strong inversion
region, but the physics can still be explained qualitatively.
Solving Schrodinger’s equation,
[H(k, z) + V (z)]Ψk (z) = E(k)Ψk (z)
(3–2)
one will get the subband energies. The energy of subband i can be expressed as [1],
"
µ
3heEe f f
3
√ ∗ i+
Ei =
4 2mz
4
¶#2/3
i = 0, 1, 2, ...
(3–3)
where h is plank constant, e is the electron charge, and m∗z is the out-of-plane hole
effective mass, also known as confinement effective mass. This effective field is defined as
the average electric field perpendicular to the Si − −SiO2 interface experienced by the
carriers in the channel. It can be expressed in terms of the depletion and inversion charge
densities:
Es =
where η =
1
2
for electrons and
1
3
1
(kQd k + ηkQinv k)
²Si
(3–4)
for holes [1, 53]. We focus on the inversion region of
MOSFETs where the effective field is over 0.5MV/cm throughout this work. This equation
for the effective electric field is an empirical equation. It may not be accurate to model
the carrier transport for devices with surface orientation other than (001) or other device
structures such as silicon-on-insulator (SOI) devices or double–gated (DG) devices.
Equation 3–3 shows that the subband energy of holes is inversely proportional to the
out-of-plane effective mass of the holes. With the transverse electric field, the subband
that is HH-like out-of-plane is shifted up (lower energy for holes) and the subband that
is LH-like out-of-plane is shifted down (higher energy). Figure 3-11 and 3-9 show that
in (001)–oriented devices, biaxial tensile strain shifts the out-of-plane LH-like band up
which is the in-plane HH-like band. The electric field effect offsets the biaxial tensile
62
strain effect. At low strain, this can be understood as follows. When the biaxial strain is
very small, i.e. 10 MPa, and the subband energy levels is dominated by the electric field
effect, the ground state subband is HH-like out-of-plane and LH-like along the channel.
As we increase the strain and keep the electric field constant, the energy splitting between
the ground state and the first excited state will decrease and at some stress level, the two
subbands will cross each other. The process is showed in Figure 3-17 schematically. If
the strain continues increasing, the strain becomes dominant determining the subband
energies and structures. During the process, the average hole effective mass increases since
holes transfer from the in-plane LH-like subband to the HH-like subband. This increasing
effective mass is responsible to the initial mobility degradation under biaxial tensile strain
which is observed both in experiments and our calculation. The mobility enhancement
shown in Figure 3-3 comes from the suppressed inter-subband phonon scattering rate due
to the high subband splitting as will be shown later. Under uniaxial compressive strain,
the top band is HH-like out-of-plane and LH-like along the channel, which suggests the
strain and the electric field effects are additive. Based on the similar analysis, both the
uniaxial compressive strain and the quantum confinement effects shift up the out-of-plane
HH-like band which is LH-like along the channel. Therefore the ground state subband is
always LH-like along the channel and the average effective mass decreases monotonically
as the stress increases.
The calculated subband splitting between the ground state and the first excited
state is showed in Figure 3-18 for different stress and surface orientation. For biaxial
stress, the splitting is zero at 500 MPa which suggests the crossing-over of the HH-like
and LH-like subbands. For all uniaxial stress cases, the subband splitting increases with
the stress. Like (001)/<110> devices, the ground state subband of both (110)/<110>
and (110)/<111> devices is HH-like out-of-plane and LH-like along the channel under
uniaxial compressive stress. The difference is tat the out-of-plane effective mass of the
ground state subband in (110)–oriented devices is much larger 3-19 than that of the
63
Figure 3-17. Schematic plot of strain effect on subband splitting, the field effect is additive
to uniaxial compression and subtractive to biaxial tension.
(001)–oriented devices, which results in much larger subband splitting at low stress. The
splitting for (110)–oriented devices does not change as much as (001)–oriented devices,
and the splitting saturates much faster with the stress compared with (001)–devices. This
is due to the strong quantum confinement underminging the strain effect, which is not
observed in (001)–oriented devices.
In general, in-plane compressive stress is desirable for pMOS, since it causes the
silicon top valence band to be HH-like out-of-plane and LH-like in-plane, which is additive
to the electric field effect. <110> uniaxial compressive stress is the best choice because it
gives very small conductivity effective mass.
3.3.2
Confinement of (110) Si
Figure 3-18 shows the difference of the subband splitting between (001)- and (110)–
oriented devices. Figure 3-3 shows that the maximum enhancement factor at 3 GPa stress
for (001)–oriented devices under uniaxial stress is much larger than (110)–oriented devices.
64
Subband Splitting / meV
120
(001)/<110> uniaxial
100
80
60
(110)/<110> uniaxial
40
(110)/<111> uniaxial
20
(001)/<110> biaxial
0
0
1
2
3
Stress / GPa
Figure 3-18. Subband splitting between the top two subbands under different stress.
To explain the physics, the bulk and confined 2D energy contours of the ground state
subband for (001) and (110)–oriented Si are shown in Figure 3-20, 3-21, 3-22, and 3-23.
The figures show that for (001)/<110> devices, the ground state hole effective mass
decreases with uniaxial compressive stress (LH-like) along the channel), but the reduction
is not as notable under biaxial stress. Compared with the bulk Si energy contours, the
electric field does not modify the subband structure in kx − ky plane for (001)–oriented
devices (it does affect the subband splitting though). The conductivity effective masses
along the channel direction are almost identical to those of bulk counterparts. The
confinement effect is much more significant on (110)–oriented devices. The confined
effective mass of the ground state subband is very low along <110> and <111> direction
even for unstressed Si, which explains why unstressed (110)–oriented devices have superior
hole mobility over (001)–oriented devices (the confinement effect is also significant in (111)
and (112) p-MOSFETs (3-1), though the hole effective mass is larger than that in (110)
65
3
Effective Mass m*/m
0
2.5
Top Band
2
1.5
1
0.5
Bottom Band
0
0
0.5
1
1.5
2
2.5
Uniaxial Compressive Stress / GPa
3
Figure 3-19. Out-of-plane effective masses for h110i surface oriented bulk silicon under
uniaxial compressive stress.
66
p-MOSFETs). Furthermore, for (110)/<110> devices, stress shows very little effects on
the confined contours and the effective masses hardly change. For (110)/<111> devices,
the 2D contours are warped much more significantly and the effective mass decreases
more than (110)/<110> devices with uniaxial stress. This difference explains why the
hole mobility of (110)/<110> devices and (110)/<111> devices respond differently under
uniaxial stress.
3.3.3
Strain-induced Hole Repopulation
Strain induced hole population in the ground state subband is shown in Figure 3-24.
For (001) devices under uniaxial stress, the initial decrease of the hole population is due
to the decreased DOS near Γ point. As stress increases, the increasing subband splitting
causes the hole population increasing and the average conductivity effective mass keeps
decreasing since the ground state subband is LH-like along the channel under uniaxial
compressvie stress. For biaxial stress, the decrease of the hole population at low stress
again reflects the initial confinement effect lifting the in-plane LH-like subband and
reducing the subband splitting( 3-18). This in-plane LH-like subband is shifted down as
the stress increases and the in-plane HH-like subband is shifted up. After the crossing-over
of the two subbands, the ground state subband population starts increasing with the
stress. For (110)–oriented devices under uniaxial compressive stress, the ground state hole
population increases with the stress, but it saturates at much lower stress compared with
(001)–oriented devices which is consistent with the subband splitting change. The hole
population of (110)–oriented devices is always lower than (001)–oriented devices under
uniaxial compressive stress, although the subband splitting is much larger. The subband
splitting and hole population difference of (001)- and (110)–oriented devices can be
explained by the ground state subband 2D DOS as shown in Figure 3-25. DOS difference
also suggests the different strain-induced mobility change. Both figures show that (001)–
oriented devices have larger DOS than (110)–oriented devices. For (001)/<110> devices
under uniaxial compressive stress, although the first excited state subband is HH-like
67
0.15
Unstressed Si
k
y
<110>
0
−0.15
0
kx
0.15
(a)
0.15
ky
<110>
0
1GPa Uniaxial Compression
−0.15
0
kx
0.15
(b)
ky
0.15
<110>
0
1 GPa Biaxial Tension
−0.15
0
kx
0.15
(c)
Figure 3-20. The 2D energy contours (25, 50, 75, and 100 meV) for bulk (001)-Si.
Uniaxial compressive stress changes hole effective mass more significantly
than biaxial tensile stress.
68
0.15
Unstressed Si
ky
<110>
0
−0.15
0
k
0.15
x
(a)
0.15
ky
<110>
0
1 GPa Uniaxial Compression
−0.15
0
kx
0.15
(b)
0.15
1 GPa Biaxial Tension
ky
<110>
0
−0.15
0
kx
0.15
(c)
Figure 3-21. Confined 2D energy contours (25, 50, 75, and 100 meV) for (001)-Si. The
contours are identical to the bulk counterparts.
69
0.15
Unstressed Si
ky
<110>
0
−0.15
0
k
0.15
x
(a)
0.15
1GPa Uniaxial Compression
k
y
<110>
0
−0.15
k
0
0.15
x
(b)
0.15
1 GPa Uniaxial Compression
ky
<111>
0
−0.15
0
kx
0.15
(c)
Figure 3-22. The 2D energy contours (25, 50, 75, and 100 meV) for bulk (110)-Si under
(a) no stress; (b) uniaxial stress along h 110i; and (c) uniaxial stress along
h111i.
70
0.15
Unstressed Si
<110>
ky
<111>
0
−0.15
0
k
0.15
x
(a)
0.15
1 GPa Uniaxial Compression
ky
<110>
0
−0.15
0
kx
0.15
(b)
0.15
1 GPa Uniaxial Compression
ky
<111>
0
−0.15
0
k
0.15
x
(c)
Figure 3-23. Confined 2D energy contours (25, 50, 75, and 100 meV) for (110)-Si. The
confined contours are totally different from their bulk counterparts which
suggests significant confinement effect.
71
along <110> channel, the subband splitting and the high 2D DOS of the ground state
subband (compared with (110)–oriented devices) assures most holes populating to the
ground state subband as shown in Figure 3-24. The decreasing DOS in Figure 3-25 (b) for
both biaxial and uniaxial stress of (001)–oriented devices also suggests that the phonon
scattering rate decreases with te stress. The DOS of (110)–oriented devices does not
change with the stress especially at high stress region (1–3 GPa) which suggests the
phonon scattering rate should not change much.
Subband Occupation
1.0
(001)/<110> uniaxial
0.8
0.6
(110)/<110> uniaxial
(110)/<111> uniaxial
0.4
(001)/<110> biaxial
0.2
0
1
Stress / GPa
2
3
Figure 3-24. Ground state subband hole population under different stress.
As we mentioned in the previous section, the stress does not warp the band structure
evenly in the whole k-space. This can also be seen from the DOS change in Figure 3-25
(b) where the DOS at Energy E = 52meV (2kT where T = 300k) is shown. Taking
uniaxial stress on (001) devices as an example, when the stress is low, only a small region
close to Γ point is affected and becomes LH-like along <110> direction (still HH-like
along transverse and out-of-plane direction), while the rest of the band with higher energy
(including the energy level showed here) does not respond to the stress yet. As the stress
72
increases, more region is affected and becomes LH-like along the channel. The initial
constant DOS at low stress in Figure 3-25 (b) suggests when the stress is lower than about
500 MPa, the stress is too small to warp the band at this energy level. When the stress
increases, DOS starts decreasing because the stress starts warping the band at this energy
and the <110> direction becomes LH-like. The DOS curve becomes flat again when the
stress effect saturates for this energy level. For (001) p-MOSFETs under biaxial stress,
Figure 3-25 (b) does not show a DOS peak like Figure 3-25 (a) which means the position
crossing-over of the top two subbands only happens close to Γ point, and the HH-like
band is always on top out of that region.
For (110) p-MOSFETs, the DOS is constant with the stress, which is due to the
strong quantum confinement effect. To discover the strain effect, 2D DOS at 4kT (102meV
at T=300K) is shown in Figure 3-26. For (001) p-MOSFETs, the curves have the similar
trend compared with the DOS curves at 2kT. The only difference is that the DOS starts
to decrease at higher stress. For (110) p-MOSFETs, DOS decreases at low stress and the
change is not as significantly as (001) p-MOSFETs. Figure 3-25 and 3-26 suggest that
the strain in (110) p-MOSFETs only warps the subband at high energy region due to the
strong quantum confinement. The strain induced mobility change should be less than
(001) p-MOSFETs, since smaller portion of holes locate at high energy compared with Γ
point.
3.3.4
Scattering Rate
Besides effective mass change, hole mobility is inversely proportional to the scattering
rate. Phonon scattering and surface roughness scattering are focused in this work, since
they are the predominant scattering mechanisms when the effective electric field in the
channel is over 0.5MV/cm [3, 1].
Figure 3-27 shows that for (001)–oriented devices, the phonon scattering rate does not
change much when the stress is lower than 500 MPa. This indicates that at low stress, the
hole mobility enhancement (or degradation) is almost purely caused by the effective mass
73
2D density-of-states / eV-1cm-1
3×1014
(001)/<110> biaxial
2×1014
(001)/<110> uniaxial
(110)/<111> uniaxial
1×1014
(110)/<110> uniaxial
0.0
0
1
Stress / GPa
2
3
2D density-of-states / eV-1cm-1
(a)
6×1014
(001)/<110> uniaxial
4×1014
(001)/<110> biaxial
(110)/<111> uniaxial
2×1014
(110)/<110> uniaxial
0.0
0
1
2
3
Stress / GPa
(b)
Figure 3-25. Stress effect on the 2 dimensional density-of-states of the ground state
subband at (a) the top of the subband (E=0); (b) E=2kT. (110)–devices
have much smaller 2D DOS which limits the ground state hole population
(larger inter-subband phonon scattering). Another observation is that DOS of
(110)–devices does not change with stress.
74
2D density-of-states / eV-1cm-1
6×1014
(001)/<110> uniaxial
(001)/<110> biaxial
4×1014
(110)/<111> uniaxial
2×1014
(110)/<110> uniaxial
0.0
0
1
2
3
Stress / GPa
Figure 3-26. Two dimensional density-of-states at E=4kT.
change. When the stress increases from 500 MPa to 3 GPa, the phonon scattering rate
decreases by 50% for both acoustic phonon and optical phonon scattering. the phonon
scattering rate reduction overweighs the effective mass change to become the main driving
force to improve the hole mobility in this stress range, especially for biaxial stress.
Unlike (001)–oriented devices, phonon scattering rate changes more at low stress
region rather than high stress region for (110)–oriented devices under uniaxial compressive
stress. This is consistent with Figure 3-18 and 3-24 that the subband splitting and the
ground state subband hole population only increase at low stress. The constant phonon
scattering rate at high stress explains why the hole mobility of (110)/<111> devices at 3
GPa is not significantly larger than (001)/<110> or (110)/<110> devices, regardless of
the largest piezoresistance coefficient at low stress.
Figure 3-28 shows that the surface roughness scattering rate increases with stress
for (001)–oriented devices. This is due to the increasing hole population in the ground
75
4×1012
Acoustic Phonon
Scattering Rate / sec-1
(001)/<110> uniaxial
(001)/<110> biaxial
3×1012
2×1012
1×1012
(110)/<110> uniaxial
(110)/<111> uniaxial
0
0
1
2
3
Stress / GPa
(a)
Optical Phonon Scattering
Rate / sec-1
1×1013
(001)/<110> uniaxial
(001)/<110> biaxial
8×1012
6×1012
4×1012
2×1012
(110)/<110> uniaxial
(110)/<111> uniaxial
0
0
1
2
3
Stress / GPa
(b)
Figure 3-27. Strain effect on (a) acoustic phonon, and (b) optical phonon scattering rate.
Optical phonon scattering is the dominant scattering mechanism improving
the mobility. Phonon scattering rate changes mainly in high stress region for
(001)-devices and low stress region for (110)-devices.
76
Surface Roughness
Scattering Rate / sec-1
4×1012
(001)/<110> uniaxial
3×1012
(001)/<110> biaxial
2×1012
1×1012
(110)/<111> uniaxial
(110)/<110> uniaxial
0
0
1
2
3
Stress / GPa
Figure 3-28. Strain effect on surface roughness scattering rate of holes in the inversion
layer. As stress increases, the scattering rate increases for (001)-devices due
to the increasing occupation in the ground state subband which brings the
centroids of the holes closer to the Si/SiO2 interface.
state subband which brings the centroids of the holes closer to the Si/SiO2 interface.
The magnitude of the surface roughness scattering rate is much smaller than the phonon
scattering rate and therefore the increasing surface roughness scattering does not affect
the hole mobility as much. The surface roughness scattering rate for (110)–oriented
devices does not change much with the stress, which is consistent with the fact that the
ground state subband hole population is relatively constant with the stress.
3.3.5
Mass and Scattering Rate Contribution
Figure 3-29 illustrates the stress–induced hole mobility enhancement contribution
from hole effective mass and phonon scattering rate reduction, respectively. Under
uniaxial compression, (001)/h110i p-MOSFETs have the largest mobility improvement
from both aspects. Compared with (110)/h111i p-MOSFETs, (110)/h110i p-MOSFETs
77
have smaller effective mass gain but larger phonon scattering rate gain. For (001) pMOSFETs under biaxial tension, the mobility enhancement is purely from the suppression
of the phonon scattering rate.
3.4
Summary
From the results of the self-consistent calculation of Schrodinger’s Equation and
Poisson’s Equation, we notice that the subband splitting between the ground state and
the first excited state decreases as the biaxial stress increases when the stress is smaller
than 600MPa, but the splitting increases with uniaxial compressive stress. The difference
is due to the subtractive or additive nature between the quantum confinement effect and
the stress effect which causes the increase or decrease of the average effective mass of the
holes in the inversion layer. As the stress keeps increasing, the stress effect outweighs the
confinement effect for both stresses and the subband splitting increases so much that the
inter-subband phonon scattering rate reduces and hence the hole mobility increases.
Uniaxial stress on (110) devices improves the hole mobility too. But the improvement
is not as much as (001)-oriented devices. This is due to the strong confinement effect
on (110)-oriented devices undermining the stress effect. When no stress is present, the
confinement effect swaps the subband structure and reduces the hole effective mass around
the Γ–point. This effective mass advantage over the (001)-oriented unstressed pMOS
causes that the hole mobility is much larger. When the stress is applied, the effective mass
change is not as significant, neither does the subband splitting. Therefore, the mobility
enhancement with the stress is not supposed to be as much as the (001)-oriented pMOS.
It is also noticed that the subband splitting saturates when the stress reaches 2 or 3
GPa, so does the effective mass. This leads to the saturation of the stress enhanced hole
mobility.
78
Mobility Enhancement
Factor / ∆µ/µ (m*)
1.5
(001)/<110> uniaxial
(110)/<111> uniaxial
1.0
(110)/<110> uniaxial
0.5
0.0
(001)/<110> biaxial
-0.5
0
1
2
3
Stress / GPa
(a)
1.5
Mobility Enhancement
Factor / ∆µ/µ (τ)
(001)/<110> uniaxial
1.0
(110)/<110> uniaxial
0.5
(110)/<111> uniaxial
0.0
(001)/<110> biaxial
-0.5
0
1
2
3
Stress / GPa
(b)
Figure 3-29. Hole mobility gain contribution from (a) effective mass reduction; and (b)
phonon scattering rate suppression for p-MOSFETs under biaxial and
uniaxial stress.
79
CHAPTER 4
STRAIN EFFECTS ON NON-CLASSICAL DEVICES
As the silicon CMOS technology is scaled to sub–100 nm, even sub–50 nm scale,
further simple scaling of the classical bulk devices is limited by the short channel effects
(SCEs) and does not bring performance improvement. The ultra-thin body (UTB) siliconon-insulator (SOI) transistor architecture [54, 55, 56, 57, 58] has been considered possible
replacement for the bulk MOSFETs. The basic idea of SOI CMOS fabrication [54, 56]
is to build traditional transistor structure on a very thin layer of crystalline Si which is
separated from the substrate by a thick buried oxide layer (BOX). Compared with the
bulk CMOS, UTB SOI technology brings benefits such as reduced junction capacitance
which increases switching speed, no body effect since the body potential is not tied to
the ground or Vdd but can rise to the same potential as the source, low subsurface leakage
current, and et al..
SOI MOSFETs are often distinguished as partially depleted (PD) transistors that
the Si thickness is larger than the maximum depletion width and fully-depleted (FD)
SOI transistors that the Si is thinner than the maximum depletion width. FD SOI
technology [1] add additional performance enhancements over PD SOI including low
vertical electric field in the channel (higher mobility) due to the fact that most FD-SOI
transistors have undoped channel, further reduction of the junction capacitance, and
better scalability. Although FD SOI technology has better scalability than classical device
structures, it is still difficult to scale the device to sub–20 nm scale. In short-channel FD
SOI MOSFETs, the thick BOX acts like a wide gate depletion region and is vulnerable
to source-drain field penetration and results in severe short-channel effects [1, 59, 60]. To
better control the channel, double-gate (DG) transistors, especially FinFETs, have been
investigated theoretically and experimentally [61, 62, 63, 64]. DG-MOSFETs have better
scalability than single-gate (SG) SOI transistors and are considered promising candidates
for sub-20nm technologies [62]. Overall, SOI SG devices and DG devices have been shown
80
to increase circuit performance and reduce active power consumption. These non-classical
device structures are the future of the CMOS technology.
With the research of strain effects on bulk silicon devices, strained silicon UTB
FETs draw the attention of researchers as such devices may combine the strain induced
transport property enhancements with their scaling advantages. Stress enhanced hole
mobility in SOI–devices has been investigated experimentally in recent years [65, 66,
67, 68, 69, 70]. In 2003, Rim [45] reported the biaxial tensile stressed SOI–pMOS hole
mobility with dependence of strain and inversion charge density. Zhang [71] showed
the hole mobility enhancement under low uniaxial longitudinal and transverse stress.
(110)-surface SOI devices with strain effects are also investigated [72]. Those results are
consistent with the measured and calculated results for bulk Si devices that are showed in
the last chapter.
Strain research on double gate devices lags that on bulk devices and even single gate
SOI devices partly due to the difficulty employing stress to the channel without damaging
the properties of the channel and Si/SiO2 interfaces. Due to the better scalability and
higher hole mobility, more attention has been drawn to (110)–oriented FinFETs over
planar DG FETs. Collaert [73] investigated strain effect on electron and hole mobility
enhancement on FinFETs. Shin [74] and his colleagues investigated multiple stress effects
on p-type FinFETs using wafer bending method. Verheyen [75] reported 25% drive
current improvement of p-type multiple gate FET devices with germanium doped source
and drain. Although hole mobility enhancement is observed in those experiments, the
actual stress in the fin is unknown. Theoretically, strain effects on FinFETs are much less
understood. With the knowledge of stress enhancing hole mobility in bulk devices, it’s
important to understand how that stress alters the hole mobility in FinFETs. Uniaxial
compressive stress will be focused in this work since it provides the greatest hole mobility
improvement than other stress on bulk devices. Another reason is that for (110)–oriented
FinFETs, the stress in the channel is normally uniaxial longitudinal stress even if SiGe
81
substrate is utilized. This is because when the fins are etched, the stress perpendicular
to the fins is relaxed due to the small thickness of the fins. As we know, only uniaxial
longitudinal compressive stress is attractive to p–type MOSFETs. But the uniaxial
compressive stress on SOI devices, especially on FinFETs, is not investigated much due
to the difficulty to apply the stress. Theoretical work on this topic is still rare. In this
chapter, we shall focus on the hole mobility enhancement under uniaxial compressive
stress on these non-classical devices. The stress effect with dependence of SOI thickness is
also investigated.
4.1
Single Gate SOI pMOS
In this section, hole mobility vs SOI thickness is calculated for unstrained SOI
devices. Strain effect on the hole mobility is then studied and the physical insights are
given.
4.1.1
Hole Mobility vs Silicon Thickness
Figure 4-1 [67, 76] shows that the hole mobility is almost independent of the silicon
thickness when SOI thickness is over 10 nm. If the silicon thickness is smaller than 10
nm, hole mobility decreases as the SOI thickness decreases. The main reason is that the
increase in the form factor (∝ 1/µph ) causes the increasing phonon scattering rate [77]
due to the structural confinement. Another reason is the increasing surface roughness
scattering causing significant lowering of the surface roughness limited mobility, since
holes are much easier involved in the surface roughness scattering as the silicon thickness
decreases.
Subband splitting is calculated for SOI pMOS and compared with the bulk pMOS.
With the same inversion charge and doping density, the splitting is very similar for both
cases. If the SOI thickness decreases from 20 nm to 5 nm, the change of the subband
splitting is less than 5%. The structure of each subband is also identical to the bulk
devices. If the SOI thickness is smaller than 5 nm, subband splitting increases as the
82
Ren,2002
Mobility / cm2/V×sec
120
100
Uchida,2002
p=6x1012/cm2
80
60
p=1.2x1013/cm2
40
0
5
10
15
SOI Thickness / nm
20
Figure 4-1. Hole mobility vs SOI thickness for single gate SOI pMOS. The mobility
decreases with the thickness due to structural confinement.
SOI thickness decreases. This does not bring smaller inter-subband scattering rate. The
rapidly increasing form factor actually keeps the scattering rate increasing.
Another issue related to the silicon thickness is subband modulation. Both measurements and Monte–Carlo simulation show that the phonon-limited mobility increases at
very thin SOI thickness [67, 69, 77]. This issue only happens to nMOS. Uchida’s measurements show there is no such mobility peak in p-type UTB SOI FETs [67], which is
consistent with our calculation.
4.1.2
Strain-enhanced Hole Mobility of SOI SG-pMOS
Rim [45] reported that biaxial tensile strain improves (or degrades) the hole mobility
as same as it does to the bulk devices, which is supported by our calculation. Uniaxial
compressive strain is focused in this chapter due to its much larger mobility enhancement
factor than biaxial tensile strain.
Figure 4-2 shows the single-gate SOI pMOS hole mobility vs uniaxial compressive
stress comparing with bulk Si devices. Calculated curves for SOI thickness of 3 nm and 5
83
nm are shown in the figure. Simulation results for thicker SOI are not included because
Hole Mobility / cm2/V•sec
they almost overlap with the bulk device curve.
400
Conventional Si (001)/<110>
300
tSOI = 5 nm
200
tSOI = 3 nm
100
0
pinv = 1×1013/cm2
0
1
2
3
Uniaxial Compressive Stress / GPa
Figure 4-2. Hole mobility for single gate SOI pMOS vs uniaxial stress at charge density
p = 1 × 1013 /cm2 .
The hole mobility enhancement factor for SOI pMOS with SOI thickness of 3 nm
is shown in Figure 4-3. The enhancement factor for SOI devices is similar to the case of
bulk devices at low stress, but larger than bulk FETs at high stress. As we mentioned
in Chapter 3 that for (001)–oriented Si pMOS, the mobility is enhanced mainly due to
the decreased hole effective mass at low stress. At high stress, phonon scattering rate
reduction due to the increasing subband splitting is the main driving force to improve
the mobility. The overlapping curves at low stress suggest the effective mass gain should
be similar for both cases. Calculation shows that the structure of each subband in SOI
pMOS is as same as the bulk counterpart which also suggests the effective mass change for
both cases should be the same. Figure 4-4 shows the subband splitting of the ground state
and the first excited state subbands for SOI and bulk FETs. The larger splitting for SOI
84
devices suggests more inter-subband phonon scattering rate change, which is responsible
for the larger mobility enhancement.
Mobility Enhancement
Factor ∆µ/µ
5
tSOI = 3 nm
4
tSOI = 5 nm
3
2
Traditional Si (001)/<110>
1
0
pinv = 1×1013/cm2
0
1
2
3
Uniaxial Compressive Stress / GPa
Figure 4-3. Hole mobility enhancement factor of UTB SOI SG devices vs uniaxial
compressive stress at charge density p = 1 × 1013 /cm2 .
Uchida reported that as the SOI thickness reduces down to 2–3 nm, the fluctuation of
the Si/SiO2 interface is the main factor to limit the carrier mobility [67, 69]. Therefore,
the large hole mobility enhancement as shown in Figure 4-3 cannot be obtained in real
devices. A new surface roughness model is needed to solve this problem. In our discussion
of the double-gate devices including FinFETs later in this chapter, the smallest Si
thickness we consider would be 5 nm.
4.2
Double-gate p-MOSFETs
Due to the overwhelming research effort on FinFETs, FinFETs are focused in this
section. For (001)–oriented DG pMOS, only symmetrical-double-gate MOSFETs are
considered here. Unlike single gate devices, double gate MOSFETs have two surface
85
Subband Splitting / meV
140
120
tSOI = 3 nm
100
80
60
40
Traditional Si (001)/<110>
20
pinv = 1×1013/cm2
0
0
1
2
3
Uniaxial Compressive Stress / GPa
Figure 4-4. Subband splitting UTB SOI SG devices vs uniaxial compressive stress at
charge density p = 1 × 1013 /cm2 .
86
channels. The wave functions of the two channels interact and one energy level splits to
two according to Pauli’s exclusive principle (subband modulation). Schematic comparison
of the subband splitting for bulk and double gate devices is showed in Figure 4-5. The
subband splitting for SDG MOSFETs and FinFETs is very small when the Si thickness
is over 5 nm (5 meV when tSi = 5nm, 3 meV when tSi = 15nm). If the Si thickness is
below 5 nm, the strong interaction of the two surface channel causes the subband splitting
increasing drastically (i.e. 18 meV for tSi = 3nm).
E0
EV
EV
Etop
Esecond
Ethird
E1
SG FET
SDG FET
Figure 4-5. Comparison of the subband splitting of double gate and single gate
MOSFETs.
4.2.1
(001) SDG pMOS
The hole mobility and the mobility enhancement factor for SDG pMOSFETs are
shown in Figure 4-6 and 4-7, respectively. Double gate devices have higher mobility
than traditional bulk transistors mainly due to the undoped body, much smaller channel
effective electric field and bulk inversion [1]. Figure 4-6 shows that the hole mobility
decreases as the silicon thickness decreases. The reason is as same as single gate SOI
devices and has been explained in last section.
The mobility enhancement factor of SDG pMOS in Figure 4-7 is very similar to
the bulk case, but the mechanisms are a little different. The first excited subband (very
close to the ground state) provides smaller average effective mass to help the mobility
87
Hole Mobility / cm2/V•sec
500
tSi = 10 nm
400
tSi = 5 nm
300
200
Traditional Si (001)/<110>
100
pinv = 1×1013/cm2
0
0
1
2
3
Uniaxial Compressive Stress / GPa
Figure 4-6. Hole mobility of SDG devices under uniaxial compressive stress at charge
density p = 1 × 1013 /cm2 .
88
enhancement, but at the same time it also brings larger inter-subband phonon scattering
rate. Those two factors balance each other. Therefore the SDG devices show a little larger
mobility enhancement at low stress, but a little lower enhancement at high stress. The
difference is slim and the average effect is very similar to single-gate devices.
pinv = 1×1013/cm2
Mobility Enhancement
Factor ∆µ/µ
5
4
tSi = 5 nm
3
2
SG Si (001)/<110>
1
0
0
1
2
3
Uniaxial Compressive Stress / GPa
Figure 4-7. Hole mobility enhancement factor of SDG MOSFETs vs uniaxial compressive
stress at charge density p = 1 × 1013 /cm2 .
4.2.2
Strain Effect on FinFETs
The total hole mobility of the FinFET with respect to the stress is shown in Figure 48, comparing with the single-gate (110)- and (001)-oriented p-type devices at the inversion
charge density of 1 × 1013 /cm2 2. In the calculation of the single-gate devices, the doping
density is taken to be 1 × 1017 /cm3 . This is a low doping density compared with the
contemporary CMOS technology. Even so, the FinFET shows significantly greater
mobility than the bulk devices. If larger doping density is applied, the mobility advantage
of the FinFET would be even larger. When 3 GPa uniaxial compressive stress is applied
89
to a FinFET, about 300% enhancement of the mobility is expected, compared to only
200% enhancement for a bulk (110)-oriented transistor as shown in Figure 4-9. Even
though the (001)-oriented pMOS shows greater relative enhancement (over 400%), the
absolute mobility is still lower than that of the FinFET due to its low mobility with no
stress.
Hole Mobility / cm2/V.sec
700
pinv = 1×1013/cm2
600
FinFET
500
400
Bulk (001) FET
Choi 01
300
200
Bulk (110) FET
100
0
0
1
2
3
Uniaxial Compressive Stress / GPa
Figure 4-8. Hole mobility of FinFETs under uniaxial stress compared with bulk
(110)-oriented devices at charge density p = 1 × 1013 /cm2 .
We mentioned in the last chapter that 2D DOS of the topmost subband in (110)oriented devices is very small near Γ point no matter if the stress is present and the stress
does not warp the subbands much. Therefore the average effective mass does not change
as much as standard (001)–oriented devices when uniaxial stress is present. Regarding
FinFETs, strong subband modulation is observed where the topmost 2 subbands are close
to each other (like (001) SDG p-MOSFETs) as we illustrated in Figure 4-5. This extra
subband is so close to the ground state subband and it acts like increasing the DOS of the
ground state subband. More importantly, the band bending at the Si/SiO2 interface is
90
Mobility Enhancement
Factor ∆µ/µ
4
pinv = 1×1013/cm2
SG (001)/<110>
3
FinFETs (110)/<110>
2
1
SG (110)/<110>
0
0
1
2
3
Uniaxial Compressive Stress / GPa
Figure 4-9. Hole mobility enhancement factor of FinFETs under uniaxial compressive
stress at charge density p = 1 × 1013 /cm2 .
91
very small in FinFETs when gate bias is applied and the ground state subband is much
closer to the Fermi-level than that of the single-gate FETs (in both cases, the ground
state subbands are on top of Fermi-level). With the same total amount of holes in both
systems, the lower ground state subband level keeps more holes close to Γ point that can
be affected by the strain and the electric field. Although the topmost two subbands in
FinFETs are close to each other, the form factors are extremely small (only about 1/6 of
single gate case) between these two subbands. This results in smaller phonon scattering
than single gate devices, and the change of the scattering rate with stress is larger than
Mobility Enhancement Factor
SG p-MOSFETs.
2
∆µ/µ(τ)
FinFETs (110)/<110>
1
0
∆µ/µ(m*)
(110)/<110> SG
-1
0
1
2
3
Uniaxial Stress / GPa
Figure 4-10. Hole mobility gain contribution from effective mass and phonon scattering
suppression under uniaxial compression for (110)/h110i FinFETs compared
with SG (110)/h110i p-MOSFETs at charge density p = 1 × 1013 /cm2 .
To understand the hole mobility difference between FinFETs and traditional single
gate (110)/h110i, the hole mobility gain contribution from effective mass change and
phonon scattering rate change is shown in Figure 4-10. It shows that phonon scattering
rate change is the main factor to improve the hole mobility for both FinFETs and bulk
92
p-MOSFETs. Both the effective mass and phonon scattering rate for FinFETs change are
larger than single gate (110)/h110i devices, which leads to higher mobility enhancement.
Smaller surface roughness scattering rate due to small electric field in FinFETs also
contributes to the higher mobility enhancement.
The calculation also shows the enhancement is not a strong function of the silicon
thickness of the fin as the fin thickness is above 5 nm. If the fin is thinner than that, more
subband splitting is observed (about 18 meV for 3 nm of the fin thickness). Since the
splitting is still not too large, our analysis about the effective mass stays true. Surface
roughness scattering rate is much larger and the hole mobility enhancement would not
be as large as that for thicker fin. An accurate surface roughness model for such devices
would be necessary to evaluate the mobility change numerically.
4.3
Summary
Strain effects on SOI MOSFETs, including planar symmetrical DG devices and
(110)–oriented FinFETs are discussed in this chapter. For single gate SOI pMOS, the
mobility decreases as the SOI layer thickness decreases due to increasing phonon and
surface roughness scattering rate. The hole mobility enhancement under stress is similar
to that of bulk silicon devices unless when the SOI thickness is so small that the surface
roughness scattering out-dominates the phonon scattering.
For double gate devices, subband splitting is drastically smaller than the bulk devices
due to the interaction of the quantum states of the two surface channels. For (001)–
oriented planar symmetrical DG pMOS, the structure of each subband is still identical to
the counterpart in the bulk devices. The extra effective mass gain is canceled by the intersubband phonon scattering and the total hole mobility enhancement is similar to the bulk
FETs at low stress. But when the stress is over 2 GPa, the effective mass gain is saturate.
The mobility gain is less than that of bulk FETs due to the larger inter-subband optical
phonon scattering. This effect is not that significant due to the smaller form factors.
93
As of the FinFETs, the extra subband provides much more effective mass gain while
the phonon scattering rate is similar to the bulk devices. This causes that the mobility
enhancement is higher than bulk FETs. Although the mobility enhancement factor for
FinFETs (3 times) is not as large as (001)–oriented bulk pMOS (>4 times), FinFETs still
have much higher mobility due to its high initial mobility without stress. Together with
the better scalability, FinFETs will be strong candidate for CMOS technology under 20
nm scale.
94
CHAPTER 5
STRAIN EFFECTS ON GERMANIUM P-MOSFETS
As short-channel-effects (SCEs) prevent the simple scaling of traditional Si MOSFETs
achieving historical performance improvement, new material, as well as feature enhanced
technology (strain technology), attract attention of the researchers. Germanium is one of
those new materials due to its large electron and hole mobility. With the strained silicon
technology in the industry, it’s a interesting topic to discover how the strain affects the
electron and hole mobility in germanium MOSFETs.
Germanium has been of special interest in high speed CMOS technology for years [78,
79]. The bulk germanium hole mobility is larger than that of other semiconductor
materials, and its electron and hole mobility are much less disparate than other materials.
In 1989, germanium hole mobility of 770cm2 /V · sec in a pMOSFET was exhibited by
Martin [80] and his co–workers using SiO2 as the gate insulator. Since then, more and
more work [81, 82] has been done on germanium or SiGe channel pMOS [83, 84, 85].
In order to reduce the surface roughness and limit the band–to–band tunneling issue,
silicon–germanium or Si–SiGe dual channel is also used in some applications. Different
gate dielectric materials [86, 87, 88] have been utilized to find the best material to
limit the surface roughness at the interface between gate insulator and germanium
channel. Due to the uncertainty in the surface roughness and the surface states, different
hole mobility values have been reported in those publications. In recent years, with
the strain technology applied to silicon CMOS, strain effect is also investigated on
germanium MOSFETs [87, 89, 90, 91, 92]. The strain is normally achieved by applying
SiGe substrate underneath the germanium or SiGe channel. But most of the work stays
only in experiments, the physical insights of the strain effect on germanium MOSFETs
have not been discussed carefully. The only available theoretical works are some MonteCarlo simulations [93, 94, 95]. The goal of this chapter is to give physical insights of strain
effects on germanium utilizing k · p calculation.
95
In this chapter, strain-induced hole mobility change of Ge and Si1−x Gex in pMOS
inversion layers is investigated. The hole mobility vs electric field and surface orientation
is showed. Strain-enhanced hole mobility is calculated for different Ge concentration in
Si1−x Gex . To understand the difference between Ge and Si, hole effective mass, band and
subband splitting, and two-dimensional density-of-states are calculated and their effects on
hole mobility is analyzed. Phonon and surface roughness scattering is also evaluated under
strain.
5.1
Germanium Hole Mobility
Unstrained Ge hole mobility [86, 96] vs vertical electric field and device surface
orientation is shown in figure 5-1. Experimental works give a lot of different mobility
values ranging from 70cm2 /V · sec to over 1000cm2 /V · sec, depending on what the gate
dielectric materials are used [86, 87, 88] and if Si buffer is applied [97, 98] between the
Ge (or SiGe) and the gate oxide. With Si buffer, the device acts as a buried-Ge channel
transistor and normally shows large hole mobility due to the lack of confinement and
surface roughness scattering. Due to the bad scalability of buried-channel devices, only
surface channel Ge-pMOS is discussed here. Calculated Ge hole mobility matches the
measured data and the mobility is much larger mobility than silicon. (110)-oriented device
shows higher mobility than (001)-oriented device, which is consistent with the results
of Si. We shall show that the larger hole mobility of germanium mainly comes from the
smaller effective mass of the holes. The relative smaller inter-subband phonon scattering
rate due to the larger subband splitting (and smaller optical phonon energy) also improves
the germanium mobility.
5.1.1
Biaxial Tensile Stress
In silicon MOSFETs, biaxial tensile strain is obtained via applying Si1−x Gex substrate underneath the Si channel. Biaxial tension is not a popular stress type for germanium devices due to the large lattice constant of germanium. For comparison purpose,
96
Hole Mobility / cm2/V•sec
500
Zimmerman, 06
Ge (110)/<110>
400
300
Ge (001)/<110>
200
Chui, 02
100
Si (001)/<110>
0
0
0.2
0.4
0.6
0.8
1
Effective Electric Field / MV/cm
Figure 5-1. Germanium hole mobility vs effective electric field.
the biaxial tensile strain effect on germanium hole mobility is calculated and showed in
Figure 5-2.
Like silicon, the degradation of the hole mobility at low biaxial tensile stress is due
to the subtractive nature of strain effect and transverse electric field effect resulting in
the increase of the average effective mass, together with a little increased inter-subband
phonon scattering. At high stress, the mobility enhancement is obtained due to reduced
inter-subband optical phonon scattering.
5.1.2
Biaxial Compressive Stress
Biaxial compressive stress in germanium MOSFETs channel can be obtained by
germanium channel on top of Si1−x Gex substrate. Silicon transistors can also have biaxial
compression with Si1−x Cx substrate. This is not a favorable stress type for either case,
since it does not improve the hole mobility significantly as shown in Figure 5-3.
97
500
Mobility / cm2/V•sec
pinv=1×1013/cm2
400
(001) Ge
300
(001) Si
200
100
0
0
2
4
6
Biaxial Tensile Stress / GPa
Figure 5-2. Germanium and silicon hole mobility under biaxial tensile stress where the
inversion hole concentration is 1 × 1013 /cm2 .
98
Mobility / cm2/V•sec
300
pinv=1×1013/cm2
250
(001) Ge
200
150
(001) Si
100
50
0
0
2
4
6
Biaxial Compressive Stress / GPa
Figure 5-3. Germanium and silicon hole mobility under biaxial compressive stress where
the inversion hole concentration is 1 × 1013 /cm2 .
99
5.1.3
Uniaxial Compressive Stress
Uniaxial compressive stress on Si is has been applied to multiple technology nodes
because of the maximum mobility enhancement to hole mobility. The hole mobility
vs uniaxial compressive stress for Ge is shown in Figure 5-4 for (001)–oriented Ge and
Figure 5-5 for (110)–oriented Ge. For (001)–oriented devices, both Si and Ge show large
enhancement. One difference between the two curves is that the mobility enhancement for
Si saturates at about 3GPa, but it does not saturate until 6GPa of stress is applied to Ge.
Hole Mobility / cm2/V•sec
1800
pinv=1×1013/cm2
Ge
1500
Si0.25Ge0.75
1200
Si0.5Ge0.5
900
Si0.75Ge0.25
600
300
Si
0
0
2
4
6
Uniaxial Stress / GPa
Figure 5-4. Germanium and silicon hole mobility on (001)-oriented device under uniaxial
compressive stress where the inversion hole concentration is 1 × 1013 /cm2 .
5.2
Strain Altered Bulk Ge Valence Band Structure
To give the physical insights of the similarity and the difference of the hole mobility
enhancement under strain for Ge and Si, strain altered bulk germanium valence band
structure is discussed in this section. Strain brings band splitting and effective mass
change to semiconductor valence band. Here, we shall focus on the effective mass change
with strain and compare the difference between germanium and silicon. In next section,
100
Mobility / cm2/V•sec
600
pinv=1×1013/cm2
500
(110) Ge
400
(110) Si
300
200
100
0
0
2
4
6
Uniaxial Compressive Stress / GPa
Figure 5-5. Germanium and silicon hole mobility on (110)-oriented device under uniaxial
compressive stress where the inversion hole concentration is 1 × 1013 /cm2 .
101
Ge subband structure in inversion layers will be discussed and the phonon scattering rate
will be calculated.
5.2.1
E-k Diagrams
Figure 5-6 shows the dispersion relation diagrams for (001)-Ge under different
stress. Like silicon, the heavy hole and light hole bands of relaxed Ge are degenerate at
Γ point as shown in Figure 5-6(a). The degeneracy is lifted when strain is applied. The
band splitting leads to band warping and the change of hole effective mass and phonon
scattering rate. The SO band energy is 296 meV lower than the HH and LH bands for
relaxed germanium which implies less coupling with HH and LH bands compared with
silicon. Under biaxial tensile strain, the top band is LH-like out-of-plane and HH-like
along h110i. For both compressive strain in Figure 5-6(c) and (d), the top band is HH-like
out-of-plane and LH-like along h110i. Uniaxial compressive strain brings the most warping
on the top valence band. The warping is the smallest under biaxial compressive strain,
which suggests the least mobility enhancement as shown in Figure 5-3.
5.2.2
Effective Mass
Strain-induced <110> and out-of-plane effective mass change at Γ point are showed
in Figure 5-7 for biaxial tension, 5-8 for biaxial compression, and 5-9 for uniaxial compression. Compared with silicon, the effective mass for germanium is obviously much smaller
along both directions. This suggests larger hole mobility for germanium than silicon
according to Drude’s model. One significant difference from Si effective mass is that the
hole effective mass change of Ge saturates with stress at much higher stress than silicon.
For some of the curves, i.e. “top” band of Figure 5-7(a) and 5-9(b), or “bottom band” of
Figure 5-8, the effective mass change does not saturate until the stress goes up to 7 GPa.
But for silicon, normally the effective mass change saturates at 2 or 3 GPa. This suggests
higher stress for the mobility saturation.
The trend of the effective mass change with stress is similar for both silicon and
germanium. If we look at the channel direction (h110i) effective mass, the top band
102
<110>
<001>
0.0
−0.2
−0.2
−0.4
−0.4
−0.2
0
0.2
−0.2
<110>
<001>
0.0
0
−0.2
0
(a)
<110>
<001>
0.0
−0.2
−0.2
−0.4
−0.4
0
0.2
−0.2
0
−0.2
<110>
<001>
0.0
−0.2
0.2
(b)
0
−0.2
(c)
0
0.2
−0.2
0
(d)
Figure 5-6. E–k diagrams for Ge under (a) no stress; (b) 1 GPa biaxial tensile stress; (c) 1
GPa biaxial compressive stress; and (d) 1 GPa uniaxial compressive stress.
103
0.2
0.18
Top Band
0.16
0.14
0.12
0.1
0.08
0.06
Bottom Band
0.04
0.02
0
0
1
2
3
4
5
6
7
(a)
0.22
0.2
0.18
Bottom Band
0.16
0.14
0.12
0.1
0.08
0.06
0.04
0.02
0
Top Band
1
2
3
4
5
6
7
(b)
Figure 5-7. Conductivity effective mass vs biaxial tensile stress: (a) Channel direction
(<110>) and (b) out-of-plane direction.
104
0.11
0.1
0.09
Bottom Band
0.08
0.07
0.06
0.05
0
Top Band
1
2
3
4
5
6
7
6
7
(a)
0.22
0.2
Top Band
0.18
0.16
0.14
0.12
Bottom Band
0.1
0.08
0.06
0.04
0
1
2
3
4
5
(b)
Figure 5-8. Conductivity effective mass vs biaxial compressive stress: (a) Channel
direction (<110>) and (b) out-of-plane direction.
105
0.5
0.45
0.4
Bottom Band
0.35
0.3
0.25
0.2
0.15
0.1
Top Band
0.05
0
0
1
2
3
4
5
6
7
6
7
(a)
0.2
Top Band
0.15
0.1
Bottom Band
0.05
0
1
2
3
4
5
(b)
Figure 5-9. Conductivity effective mass vs uniaxial compressive stress: (a) Channel
direction (<110>) and (b) out-of-plane direction.
106
effective mass at Γ point under uniaxial compressive stress is only about 0.04m0 compared
with 0.38m0 for relaxed germanium. The ratio of the change is 9.5 comparing with 5.4
of silicon (0.59m0 to 0.11m0 ). This huge effective mass gain does not result in higher
mobility enhancement in Figure 5-4 because of the much smaller 2D DOS and initial large
subband splitting in the inversion layers, which will be addressed in the next section.
Under biaxial tensile stress, the top band has higher channel direction effective mass
(increasing with stress) and lower out-of-plane effective mass which is similar to silicon.
This means the stress effect and the transverse electric field effect in the inversion layer
should be subtractive and the hole mobility should be degraded at low stress as shown
in Figure 5-2. Under biaxial compressive stress, the top band has very low conductivity
effective mass at Γ point along h110i. As we mentioned before, the band warping is not
significant and only happens very close to the Γ point, which suggests the average effective
mass of the system may not decrease much with the stress.
5.2.3
Energy Contours
The energy contours of the valence band provide a straightforward picture of the
conductivity effective mass and density-of-states of each band. The conductivity and
density-of-states effective mass change with strain can also be seen from the shape change
of the contours. The 25 meV contours of the unstressed Ge are shown in figure 5-10.
Contours (25 meV) under biaxial compressive and tensile stress are shown in figure 5-11
and 5-12. Figure 5-13 shows the contours under uniaxial compressive stress. The energy
contours are similar to those of silicon, but the shape of the contours changes more than
Si contours when the same amount of strain is present. Another difference is that under
uniaxial compressive stress, the 2D DOS of Ge looks much smaller than Si. From the
analysis of Si, lower Γ point DOS leads to smaller strain induced mobility improvement
due to fewer holes are affected by strain. This may explain why the mobility enhancement
factor for Ge is not larger than Si, although the effective mass change is much larger at Γ
point.
107
(a)
(b)
Figure 5-10. 25meV energy contours for unstressed Ge: (a) Heavy-hole; (b) Light-hole.
(a)
(b)
Figure 5-11. 25meV energy contours for biaxial compressive stressed Ge: (a) Top band;
(b) Bottom band.
108
(a)
(b)
Figure 5-12. 25meV energy contours for biaxial tensile stressed Ge: (a) Top band; (b)
Bottom band.
(a)
(b)
Figure 5-13. 25meV energy contours for uniaxially compressive stressed Ge: (a) Top band;
(b) Bottom band.
109
5.3
5.3.1
Discussion Of Hole Mobility Enhancement
Strain-induced Subband Splitting
Based on the triangular potential approximation, subbands with higher out-of-plane
effective mass tend to go closer to the top of the quantum well (lower energy for holes)
under vertical electric field. Figure 5-8 and 5-9 show that both biaxial compressive stress
and uniaxial compressive stress shift up the out-of-plane HH-like band. This effect is
clearly additive to the electric field effect. For biaxial tensile stress, the electric field effect
is subtractive to the strain effect and therefore if the electric field is fixed, the subband
splitting should decrease at low stress level and at some stress value, the top two subbands
would cross over each other just like Si. The subband splitting between the ground and
the first excited state of (001) Ge is illustrated in Figure 5-14.
Subband Splitting / meV
140
(001) Ge, bi. tens.
120
(001) Si, uni. comp.
100
80
(001) Ge, uni. comp.
60
(001) Ge, bi. comp.
40
20
0
0
1
2
3
4
5
6
Stress / GPa
Figure 5-14. Ge subband splitting under different stress.
Figure 5-14 shows that the subband splitting for relaxed Ge p-MOSFETs is much
larger than that of the Si p-MOSFETs. The splitting is larger than the optical phonon
110
energy of Ge(37 meV), while for unstressed (001) Si, the splitting is smaller than optical
phonon energy. The difference, together with the fact that Ge has much smaller 2D DOS,
suggests that even without the stress, the inter-subband optical phonon scattering rate is
much smaller compared with silicon. Except biaxial tensile stress, the subband splitting
of all compressive stress cases increases with the stress. The amount of increase is much
smaller than that of the silicon cases, which indicates smaller phonon scattering rate
change with the stress for (001) Ge comparing with Si. This suggests that the strainenhanced hole mobility is mainly because of the effective mass gain.
5.3.2
Biaxial Stress on (001) Ge
As we mentioned in Chapter 3, with more strain, more region in momentum space is
affected. The band which is out of the strain-affected region is normally warped. Figure 515 shows the normalized in-plane E-k diagram under biaxial compressive stress. On the
one hand, the figure shows as the stress increases, more region is near the zone center is
warped and has lower DOS. On the other hand, out of the warped area, the band curves
up a little as the stress increases, which suggests the increase of the DOS. The overall
effect is that the effective mass gain close to Γ point due to stress is compromised by
the heavier mass of the holes away from the Γ point. At low stress, the mass change,
together with the increasing subband splitting, enhances the hole mobility slightly. Under
higher stress, the enhancement is minimal. For Si pMOS under biaxial compressive stress,
the E-k diagram is similar to Ge. The difference is that Si has much larger DOS near Γ
point, therefore there is always effective mass gain. The different DOS results in the strain
enhanced hole mobility difference as in Figure 5-3.
Biaxial tensile stress affects the Ge hole mobility similar to Si devices: the subtractive
nature of the strain and transverse electric field effects degrades the hole mobility at low
stress, and the decrease of the phonon scattering rate enhances the mobility at high stress.
Uniaxial compressive stress on (001)–oriented Ge is focused next because it provides the
111
0.04
No Stress
0.02
0
1 GPa
−0.02
k
y
−0.04
−0.06
−0.08
−0.1
3 GPa
−0.12
5 GPa
−0.14
−0.1
−0.05
0
0.05
0.1
kx
Figure 5-15. Normalized ground state subband E-k diagram vs biaxial compressive stress.
most mobility enhancement and the mobility enhancement mechanism is a little different
from Si.
5.3.3
Uniaxial Compression on (001) Ge
Ground state 2D DOS of Si and Ge are shown in Figure 5-16 at different energies.
DOS of Ge is much lower than Si. The trend of the DOS change with stress is similar for
Si and Ge. Figure 5-16(a) shows that the DOS of Ge saturates with stress at higher stress
than Si, since the effective mass changes with stress at higher stress. This is consistent
with the mobility saturation curves in Figure 5-4.
Phonon and surface roughness scattering rate change vs uniaxial stress is shown in
Figure 5-17 and 5-18. For both Si and Ge, phonon scattering rate does not change much
at low stress, and at high stress the phonon scattering rate decreases as the uniaxial stress
increases. Ge has lower scattering rate than Si due to the smaller DOS of Ge. For Si, both
acoustic phonon and optical phonon scattering rate decreases by 50% when the stress
112
2D density-of-states / J-1m-1
×1014
2.5
2D DOS at Energy=5 meV
Si
2.0
1.5
1.0
0.5
Ge
0.0
0
2
4
6
Uniaxial Compressive Stress / GPa
(a)
2D density-of-states / J-1m-1
6
×1014
5
4
Si
3
2
Ge
1
0
0
2
4
6
Uniaxial Compressive Stress / GPa
(b)
Figure 5-16. Two dimensional density-of-states of the ground state subband for Si and Ge
at (a)E=5meV; (b)E=2kT=52meV under uniaxial compressive stress.
113
increases from zero to 6 GPa. For Ge, the phonon scattering rate only decreases 35%. The
surface roughness scattering rate increases with the stress for both Si and Ge due to the
hole repopulation under stress as we explained previously.
Figure 5-19 shows the mobility enhancement contribution from effective mass (solid
lines) and phonon scattering rate (dashed lines) for Si and Ge. For Si, effective mass gain
is the main driving force of the mobility enhancement at low stress, and the scattering
rate change is dominant at high stress range (1 GPa–3 GPa). From unstressed case to 3
GPa of stress, effective mass gain and phonon scattering rate decrease have comparable
enhancement to the hole mobility. For Ge, the phonon scattering only contribute 1.5 times
of the enhancement. The effective mass change is dominant in the whole stress range. As
we mentioned before, this is because the effective mass change ratio is large under stress
(0.38m0 to smaller than 0.04m0 ). Another observation of the effective mass is that as
the stress is over 1 GPa, increasing the stress does not change the hole effective mass for
Si, but the effective mass of Ge continue to decrease as the stress increases. This extra
effective mass gain contribute to the hole mobility enhancement for Ge at very high stress.
5.3.4
Uniaxial Compression on (110) Ge
The confined 2D energy contours are showed in Figure 5-20 for (001)–oriented
MOSFETs and Figure 5-21 for (110)–oriented p-MOSFETs. For (110) Ge p-MOSFETs
under uniaxial stress, the strain effect is similar to (110) Si p-MOSFETs. The strong
quantum confinement warps the subband structure and results in small hole effective
mass, which explains the higher unstrained hole mobility than (001) Ge p-MOSFETs. As
the uniaxial compressive stress is applied, the strain effect is undermined by the strong
quantum confinement and only warps the high energy region of each subband. As a result,
the hole mobility is not enhanced as significantly as (001)–oriented p-MOSFETs.
5.4
Summary
Germanium hole mobility improvement under biaxial tensile, biaxial compressive
and uniaxial compressive stress is analyzed and compared with silicon. The trend of
114
×1012
Acoustic Phonon
Scattering Rate / sec-1
3.0
2.0
Si
1.0
Ge
0.0
0
2
4
6
Uniaxial Compressive Stress / GPa
(a)
Optical Phonon Scattering
Rate / sec-1
9.0
×1012
6.0
Si
3.0
Ge
0.0
0
2
4
6
Uniaxial Compressive Stress / GPa
(b)
Figure 5-17. Phonon scattering rate vs uniaxial compressive stress: (a) Acoustic phonon,
and (b) optical phonon.
115
×1012
Surface Roughness
Scattering Rate / sec-1
4.5
3.0
Si
1.5
Ge
0.0
0
2
4
6
Uniaxial Compressive Stress / GPa
Figure 5-18. Surface roughness scattering rate vs uniaxial compressive stress for Ge and
Si.
116
Mobility Enhancement ∆µ/µ
pinv=1×1013/cm2
Ge (001)/<110>
2
∆µ/µ(m*)
1
0
∆µ/µ(τ)
Si (110)/<110>
-1
0
1
2
3
Uniaxial Stress / GPa
Figure 5-19. Mobility enhancement contribution from effective mass change (solid lines)
and phonon scattering rate change (dashed lines) for Si and Ge under
uniaxial compressive stress.
0.15
0.15
1GPa Uniaxial Compression
y
0
−0.15
k
ky
Unstressed Ge
0
kx
0.15
0
−0.15
0
k
x
(a)
(b)
Figure 5-20. Confined 2D energy contours for (001)–oriented Ge pMOS with uniaxial
compressive stress.
117
0.15
0.15
Unstressed (110) Ge
y
1GPa Uniaxial Compression
0
−0.15
k
ky
0.15
0
k
0.15
0
−0.15
0
k
0.15
x
x
(a)
(b)
Figure 5-21. Confined 2D energy contours for (110)–oriented Ge pMOS with uniaxial
compressive stress.
each stress type for both germanium and silicon is similar—uniaxial compressive stress
on (001)-oriented transistors has the most hole mobility improvement mainly from the
reduced hole conductivity effective mass. Uniaxial compressive stress on (110)-oriented
devices does not provide as much improvement due to the strong quantum confinement
undermining the strain effect. Hole mobility is degraded under low biaxial tensile stress
due to the subtractive nature of the strain and vertical electric field effects and hence the
increase of the average effective mass. The mobility is enhanced at high stress because
of the reduction of the inter-subband scattering rate. Biaxial compressive stress does not
improve the hole mobility much due to the small DOS after band/subband warping and
not much effective mass gain.
118
CHAPTER 6
SUMMARY AND SUGGESTIONS TO FUTURE WORK
6.1
Summary
In this work, uniaxial stress-induced hole mobility enhancement in (001)–oriented
Si p-MOSFETs is calculated at high stress (up to 3 GPa) and large enhancement factor
(4.5x) is obtained. For the first time, coordinates system transformation of LuttingerKohn’s Hamiltonian and Kubo-Greenwood Equation is performed to investigate the hole
mobility in Si and Ge p-MOSFETs with surface orientations other than (001). The strong
quantum confinement in (110), (111), and (112)–oriented p-MOSFETs is reported for the
first time. The results show that, unlike (001) p-MOSFETs, the subband structures of Si
and Ge in (110), (111), and (112)–oriented p-MOSFETs are warped by the confinement.
The strong confinement causes smaller hole effective mass and lower phonon scattering
rate due to larger subband splitting, which explains the higher hole mobility in those
p-MOSFETs. To analyze the difference of the stress-induced phonon scattering rate for
(001) and (110) p-MOSFETs, two-dimensional density-of-states (2D DOS) are evaluated
at arbitrary energy in the subbands. Comparing with (001) p-MOSFETs, (110) pMOSFETs have smaller DOS and DOS does not vary much as the uniaxial stress increases
due to the stronger quantum confinement. Under uniaxial stress, the phonon scattering
rate for (110) p-MOSFETs does not change as much as (001) p-MOSFETs. 2D energy
contours of the subbands in (001) and (110) p-MOSFETs under stress are investigated
and smaller effective mass change with stress for (110) p-MOSFETs is found which is
again due to the stronger quantum confinement. The smaller change of effective mass and
phonon scattering rate results in lower mobility enhancement in (110) p-MOSFETs. As
a result, at high uniaxial stress (3 GPa), (001)/<110>, (110)/<110>, and (110)/<111>
p-MOSFETs have similar hole mobility.
119
Strain induced hole mobility enhancement is studied theoretically for the first time
in ultra-thin-body (UTB) non-classical p-MOSFETs, including single-gate (SG) siliconon-insulator (SOI), (001) symmetrical double-gate (SDG) p-MOSFETs, and (110) p-type
FinFETs. For SG SOI p-MOSFETs, the strain effects are as same as traditional Si pMOSFETs. For (001) SDG p-MOSFETs and (110) FinFETs, subband modulation is
found when the channel thickness is smaller than 20 nm. Due to the interaction of the
two surface channels, the subband splitting between the ground state and the first excited
state is small (about 3 to 5 meV) as the body thickness is larger than 5 nm. This splitting
does not change as the stress increases. Compared with the single gate p-MOSFETs,
this small splitting is similar to increasing the DOS of the ground state subband. As
the stress increases, the average effective mass change is larger than that in single gate
p-MOSFETs. The low form factors due to the symmetrical structure and low electric
field in the channel suggest the phonon scattering rate in double gate pMOSFETs is lower
than single gate p-MOSFETs, regardless the small subband splitting. For (001) SDG
p-MOSFETs, the phonon scattering rate change is a little smaller than single gate pMOSFETs as the stress increases. The larger effective mass change and smaller scattering
rate change result in similar hole mobility enhancement factor compared with single gate
p-MOSFETs. For FinFETs, the form factors are much smaller than single gate (110)
p-MOSFETs and the change with stress is larger which suggests larger scattering rate
change. Therefore, the strain-induced hole mobility enhancement (3x) is larger than single
gate (110) p-MOSFETs (2x).
Strain effect on hole mobility improvement in (001) and (110) Ge and Si1−x Gex
p-MOSFETs is calculated for the first time. The mobility enhancement at low stress
is similar to Si. At high stress, the maximum mobility enhancement factor for (001)
Ge is larger than Si due to the greater effective mass change, especially at high stress.
The phonon scattering rate change for Ge p-MOSFETs is a little smaller than Si. For
(110) Ge p-MOSFETs, strong quantum confinement is found and the strain induced
120
mobility enhancement is smaller than (001) Ge. Biaxial compressive stress effect on Ge
p-MOSFETs is also calculated, and very small enhancement is found.
6.2
Recommendations for Future Work
The aggressive scaling of silicon CMOS technology has pushed the channel length to
nanometer regime. Strain, especially uniaxial compressive strain, can improve the hole
mobility of pMOSFETs dramatically and hence enhance the device performance. To
further improve the performance of CMOS technology, other feature-enhanced technology
and even new material will be a must have. Non-classical devices have been seen as
possible replacement for simple planar layout single gate bulk silicon devices and have
the potential to be scaled down further in the roadmap. Although theoretical calculation
shows the performance could be improved by strain, the question still exists how strain
can be applied to these devices, especially FinFETs.
Germanium is one new material that has been considered to replace silicon in CMOS
technology. Uniaxial strain even has higher enhancement on germanium pMOS. But the
experimental work is still lack for germanium. People are still trying to find out the best
layout, proper dielectric and gate materials. It will be a long way but definitely worth
working on.
How about after all of this? There will be an ultimate limit for the scaling that
ballistic transport will take place and the mobility concept will not be valid. Will strain
still be useful at that stage? The answer is probably yes, since the strain can reduce the
effective mass of the carriers and this will still help the transport. That being said, serious
calculation will be necessary to further explain this.
121
APPENDIX A
STRESS AND STRAIN
Stress σ is defined as the force F applied on unit area A.
F
A→0 A
σ = lim
(A–1)
Any stress on an isotropic solid body in a cartesian coordinate system can be
expressed as a stress matrix σ [13, 99],


 σxx


σ=
 τyx


τzx
τxy τxz 


σyy τyz 

τzy σzz


(A–2)
where
σii = lim
Ai →0
Fi
Ai
is called the normal stress on the i−face in the i−direction and
Fj
Ai →0 Ai
τij = lim
is the shear stress on the i−face in the j−direction [100] as shown in Figure A-1. This
stress matrix completely characterizes the state of stress at crystals.
For stress S along < 100 >-direction, the matrix can be written as


 1 0 0 





σ =S 0 0 0 





(A–3)
0 0 0
For stress S along both <100> and <010>–direction (biaxial stress),


 1 0 0 





σ =S
 0 1 0 




0 0 0
122
(A–4)
W zz
z
W yz
W xz
W zy
W zx
W yx W xy
W xx
W yy
y
x
Figure A-1. Stress distribution on crystals.
Stress S along <110>-direction is a little complicated. The stress is applied on both
(100) and (010) planes. If we resolve each component along x and y axes to get both
normal and shear terms, each term has the same magnitude of S/2. The stress tensor can
be expressed as,

S
σ=
2

 1 1


 1 1



0 


0 



(A–5)
0 0 0
For stress S along <111>-direction, based on the similar analysis, the stress is
actually acted on (100), (010), and (001) planes. Each component can be resolved along x,
y, and z axes and the stress along each direction is S/3. Therefore the stress tensor is,

S
σ=
3

 1 1


 1 1



1 


1 

1 1 1
123


(A–6)
The stress matrix is symmetric where τij = τji , and only 6 components are necessary
to represent the stress. Therefore, the 3 × 3 matrix can also be written as a 6 × 1 stress
vector.











σ=









σxx 
σyy
σzz
τyz
τxz
τxy


















(A–7)
Strain is defined as the distortion of a structure caused by stress. Normal strain is
defined as the relative lattice constant change [13, 99],
²=
a − a0
a0
(A–8)
where a0 and a are lattice constant before and after the strain.
However, the deformation of the crystal cannot be fully represented with the normal
strain. It also has shear terms that are defined as change in the interior angles of the unit
element. Like stress, strain can also be expressed with a symmetric 3 × 3 tensor or 6 × 1
vector ² [100].


 ²xx ²xy ²xz 


²=
 ²yx


²yy


²yz 
 or,


²zx ²zy ²zz
124











²=









²xx 
²yy
²zz
2²yz
2²xz
2²xy


















(A–9)
For most materials the stress is a linear function of strain. The transformation
between stress and strain is through a 6 × 6 stiffness matrix C or compliance matrix
S [99].
σ =C·²





















σxx 









σyy  






σzz  

=



τyz 







τxz  





τxy
(A–10)
C11 C12 C13 C14 C15 C16   ²xx



C21 C22 C23 C24 C25 C26 
  ²yy
C31 C32 C33 C34 C35
C41 C42 C43 C44 C45
C51 C52 C53 C54 C55



C36 




C46 




C56 



C61 C62 C63 C64 C65 C66







²zz 



2²yz 



2²xz 



(A–11)
2²xy
or,
²=S·σ





















²xx 
S12 S13 S14 S15 S16   σxx 
²yy
S22 S23 S24 S25 S26
²zz
2²yz
2²xz
2²xy


 S11





 S

 21





 S

 31
=



 S

 41





 S

 51




S32 S33 S34 S35 S36
S42 S43 S44 S45 S46
S52 S53 S54 S55 S56
S61 S62 S63 S64 S65 S66



















σyy
σzz
τyz
τxz
τxy


















(A–12)
For diamond or zinc-blende-type crystal, stiffness matrix and compliance matrix can
be simplified as [99]
125
Table A-1. Elastic stiffnesses Cij in units of 1011 N/m2 and compliances Sij in units of
10−11 m2 /N
C11
C12
C44
S11
S12
S44
Si 1.657 0.639 0.7956 0.768 -0.214 1.26
Ge 1.292 0.479 0.670 0.964 -0.260 1.49
























σxx 
C12 C12
0
0
0   ²xx 
σyy
C11 C12
0
0
0
C12 C11
0
0
0
σzz
τyz
τxz
τxy
 C11




 C

 12





 C

 12

=


 0







 0







0
0
0
C44
0
0
0
0
0
C44
0
0
0
0
0
C44


















²yy
²zz
2²yz
2²xz
2²xy







































²xx 
S12 S12
0
0
0   σxx 
²yy
S11 S12
0
0
0
S12 S11
0
0
0
²zz
2²yz
2²xz
2²xy


 S11





 S

 12





 S

 12
=



 0







 0






0
0
0
S44
0
0
0
0
0
S44
0
0
0
0
0
S44


















(A–13)

σyy
σzz
τyz
τxz
τxy


















(A–14)
The stiffness and compliance coefficients for silicon and germanium are listed in the
following table.
Let’s go back to the strain tensor. Each strain can be decomposed to two components: hydrostatic term and shear term. The shear term can be further decomposed to
shear–100 term which only has diagonal elements and shear–111 term which only contains
non-diagonal elements.
² = ²hydrostatic + ²shear−100 + ²shear−111
126


 ²xx + ²yy + ²zz

1
= 
3


0
0
0
²xx + ²yy + ²zz
0
0
0
²xx + ²yy + ²zz

hydrosatic

0
 2²xx − (²yy + ²zz )


1
+ 
0
2²yy − (²xx + ²zz )
3


0

0

 0


+
 ²yx









0
0
2²zz − (²xx + ²yy )







shear−100
²xy ²xz 
0
²zx ²zy


²yz 



0
(A–15)
shear−111
The hydrostatic term in the strain tensor shifts the energy of all the bands in
semiconductors by the same amount simultaneously but does not cause band splitting,
since it is actually a constant and in the calculation of the band energy it only acts like
adding an additional potential term to the hamiltonian. The semiconductor transport
property is independent on the hydrostatic strain term. For two different stress, as long
as the shear terms of their strain tensors are equal, their impact to the carrier mobility
should be identical.
Stress can be applied to semiconductors from any direction. For a silicon MOSFET, only in-plane biaxial stress or channel direction uniaxial stress has technological
importance. The common silicon wafers that are used in industry are (001)–oriented, and
normally the channel of the MOSFET is along <110>–direction. Biaxial stress here means
that the stress is applied in both <100>– and <010>–directions of the wafer with the
same magnitude. Uniaxial stress represents the stress along the <110> channel direction. This stress is also called uniaxial longitudinal stress. In the same manner, uniaxial
transverse stress normally means the uniaxial stress applied perpendicular to the channel
direction. Both of those stresses are applied in the plane of the wafer, therefore they are
also “in-plane” stresses. Another kind of uniaxial stress is called “out-of-plane” uniaxial
127
stress which means the stress is applied in the direction perpendicular to the surface of the
wafer.
For the out-of-plane uniaxial stress and the in-plane biaxial stress on (001) wafer,
the strain matrices only have diagonal terms and all non-diagonal terms are zero. The
question is, how do these two stresses differ from each other? Let’s assume we have outof-plane uniaxial stress −σ on one sample and in-plane biaxial stress σ on another sample.
For case 1, based on (1.4) and (1.15), the strain tensor can be expressed as, in the form of
(1.16),


 S12


²u = −σ 
 0


0
0
0 

S12
0 

0

σ
=−
3
 S11 + 2S12



0




S11



0
0
S11 + 2S12
0
0
S11 + 2S12
0


 S11 − S12

σ
+ 
0
3


0
0
0
S11 − S12
0
0
2(S12 − S11 )
For in-plane biaxial stress,


 S11 + S12


²b = σ 
0



0
0
0
S11 + S12
0
0
2S12
128














hydrosatic







shear
(A–16)

σ
=
3

0
 2(S11 + 2S12 )



0
2(S11 + 2S12 )



0
0
0
0
2(S11 + 2S12 )


 S11 − S12

σ
+ 
0
3


0
0
0
S11 − S12
0
0
2(S12 − S11 )














hydrosatic
(A–17)
shear
(1.17) and (1.18) show that the hydrostatic terms of those two strain tensors are
different, but the shear terms are identical. This tells us that the biaxial tensile (compressive) stress should have the same effect as the out-of-plane uniaxial compressive (or
tensile) stress in determining the transport property of the holes.
129
APPENDIX B
PIEZORESISTANCE
The piezoresistance, or piezoresistive effect, describes the electrical resistance change
of materials caused by applied mechanical stress. The first measurement of piezoresistance
was performed by Bridgman in 1925 and extensive study on this topic was done ever since.
In 1954, Smith measured the piezoresistance effect on Si and Ge [7]. This effect becomes
more and more important due to the wide application of Si and Ge on contemporary
CMOS technology.
Similar to stress and strain, the change of resistivity of a material is a symmetrical
second rank tensor. The tensor connecting the stress and the piezoresistance is of fourth
rank. For Si and Ge, we can simplify the tensor as [7]










Π=










π11 π12 π12
0
0
0 
π12 π11 π12
0
0
0
π12 π12 π11
0
0
0
0
0
0
π44
0
0
0
0
0
0
π44
0
0
0
0
0
0
π44


















(B–1)
The most general form of a two-dimensional piezoresistance tensor in the inversion
layer is [8]


 π11


Π=
 π21


π12 π14 
π22


π24 



(B–2)
π41 π42 π44
For (001), (110), and (111) surface oriented Si (or Ge), π14 = π41 = π24 = π42 = 0
(principle axis h001i for (001) and (110) surface, h1̄10i for (111) surface). We can further
simplify the piezoresistance tensor as [8]
130


 π11


Π=
 π12


π12
π22
0
0
0 


0 

π44
(B–3)


For (001) surface oriented Si and Ge, π11 = π22 and


 π11


Π=
 π12


π12
0 

π11
0 

0
0

π44
(B–4)


For (111) surface oriented Si and Ge, π44 = π11 − π12 and


 π11


Π=
 π12


0
π12
0
π11
0
0
π11 − π12







(B–5)
In the piezoresistance tensors, π11 represents the longitudinal piezoresistance coefficient (along h 100i for (001) and (110) surface). π12 is the transverse piezoresistnace
coefficient (along h 010i for (001) and (110) surface). In standard MOSFETs, the channel
direction is along h110i and the uniaxial stress is applied either along h110i or h11̄0i. By
rotational transformation of the tensor the new longitudinal and transverse piezoresistance
coefficients become [8]
1
0
π11
= (π11 + π12 + π44 )
2
(B–6)
1
0
π12
= (π11 + π12 − π44 )
2
(B–7)
and
.
131
For biaxial stress, the piezoresistance coefficient is the sum of the longitudinal and
transverse terms.
πBiaxial = π11 + π12
.
132
(B–8)
REFERENCES
[1] Y. Taur and T. H. Ning. Fundamentals of Modern VLSI Devices. Cambridge
University Press, Cambridge, UK, 1998.
[2] M. V. Fischetti. Band structure, deformation potentials, and carrier mobility in
strained Si, Ge, and SiGe alloys. J. Appl. Phys., 80:2234–2252, 1996.
[3] M. V. Fischetti. Six-band k.p calculation of the hole mobility in silicon inversion
layers:dependence on surface orientation, strain, and silicon thickness. J. Appl.
Phys., 94:1079–1095, 2003.
[4] M. L. Lee and E. A. Fitzgerald. Hole mobility enhacements in nanometer-scale
strained-silicon heterostructures grown on Ge-rich relaxed SiGe. J. Appl. Phys.,
94:2590–2596, 2003.
[5] S. E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau, G. Glass, T. Hoffman,
J. Klaus, Z. Ma, M. Bohr, and Y. El-Mansy. A 90nm logic technology featuring
strained-silicon. IEEE Trans. Electr. Dev., 51:1790–1797, 2004.
[6] S. E. Thompson, G. Sun, Y. Choi, and T. Nishida. Uniaxial-process-induced
strained-Si: Extending the CMOS roadmap. IEEE Trans. Electr. Dev., in print,
2006.
[7] C. S. Smith. Piezoresistance effet in germanium and silicon. Phys. Rev., 94:42–49,
1954.
[8] D. Colman, R. T. Bate, and J. P. Mize. Mobility anisotropy and piezoresistance in
silicon p-type inversion layers. J. Appl. Phys., 39:1923–1931, 1968.
[9] G. C. Osbourn. Strained-layer superlattices from lattice mismatched materials. J.
Appl. Phys., 53:1586–1589, 1982.
[10] G. C. Osbourn. Strained-layer superlattices: A proposal for useful, new electronic
materials. Phys. Rev. B, 27:5126–5128, 1983.
[11] J. Bardeen and W. Shockley. Deformation potentials and mobilities in non-polar
crystals. Phys. Rev., 80:72–80, 1950.
[12] C. Herring and E. Vogt. transport and deformation potential theory for many-valley
semiconductors with anisotropic scattering. Phys. Rev., 101:944–961, 1956.
[13] Y. P. Chao and S. L. Chuang. Spin-orbit-coupling effects on the valence-band
structure of strained semiconductor quantum wells. Phys. Rev. B, 46:4110, 1992.
[14] J. Welser, J. L. Hoyt, and J. F. Gibbons. NMOS and PMOS transistors fabricated in
strained silicon/relaxed silicon-germanium structures. In Int. Electr. Dev. Meeting,
pages 1000–1002, 1992.
133
[15] D. K. Nayak and S. K. Chun. Low-field hole mobility of strained Si on (110) SiGe
substrate. Appl. Phys. Lett., 64:2514–2516, 1994.
[16] K. Rim, J. Welser, J. L. Hoyt, and J. F. Gibbons. Enhanced hole mobilities in
surface-channel strained-si pmosfets. In Int. Electr. Dev. Meeting, pages 517–520,
1995.
[17] S. Ito, H. Namba, K. Yamaguchi, and T. Horiuchi. Mechanical stress effect of etchstop nitride and its impact on deep submicron transistor design. In Int. Electr. Dev.
Meeting, pages 247–250, 2000.
[18] A. Shimizu, K. Hachimine, N. Ohki, H. Ohta, M. Koguchi, and F. Ootsuka. Local
mechanical-stess control (LMC): a new technique for CMOS-performance enhancement. In Int. Electr. Dev. Meeting, pages 247–250, 2001.
[19] S. Gannavaram, N. Pesovic, and M. C. Ozturk. Low temperature recessed junction
selective silicon-germanium source/drain technology for sub-70 nm CMOS. In Int.
Electr. Dev. Meeting, pages 437–440, 2000.
[20] M. D. Giles. Understanding stress enhanced performance in intel 90nm CMOS
technology. Lecture, August 2004.
[21] G. Bastard. Wave mechanics applied to semiconductor hetetostructures. Zone
Industrielle de Courtaboeuf, France, 1990.
[22] S. L. Chuang. Physics of optoelectronic devices. Wiley, New York, 1995.
[23] Y. K. Sun. Theoretical studies of the electronic magneto-optical and transport
properties of diluted magnetic semiconductors. PhD thesis, Univ. of Florida,
Gainesville, FL, August 2005.
[24] J. Bardeen. An improved calculation of the energies of metallic Li and Na. J. Chem.
Phys., 6:367–371, 1938.
[25] F. Seitz. The Modern Theory of Solids. McGraw Hill, New York, 1940.
[26] N. W. Ashcroft and N. D. Mermin. Solid State Physics. Sanders College, New York,
1976.
[27] P. O. Lowdin. A note on the quantum-mechanical perturubation theory. J. Chem.
Phys., 19:1396–1401, 1951.
[28] W. A. Brantley. Calculated elastic constants for stress problems associated with
semiconductor devices. J. Appl. Phys., 44:534–535, 1973.
[29] Frank Stern. Self-consistent results for n-type Si inversion layers. Phys. Rev. B,
5:4891–4899, 1972.
134
[30] R. Oberhuber, G. Zandler, and P. Vogl. Subband structure and mobility of twodimensional holes in strained Si/SiGe MOSFET’s. Phys. Rev. B, 58:9941–9948,
1998.
[31] Supriyo Datta. Quantum Transport: Atom to Transistor. Cambridge, Cambridge
University, UK, 2005.
[32] B. K. Ridley. Quantum Processes in Semiconductors. Oxford, Oxford University,
UK, 1999.
[33] S. M. Goodnick, D. K. Ferry, and C. W. Wilmsen. Surface roughness at the (110)
si-SiO2 interface. Phys. Rev. B, 32:8171–8189, 1985.
[34] F. Gamiz, J.B. Roldan, J. A. Lopez-Villanueva, P. Cartujo-Cassinello, and J. E.
Carceller. Surface roughness at the Si/SiO2 interfaces in fully depleted silicon-oninsulator inversion layers. J. Appl. Phys., 86:6854–6863, 1999.
[35] H. Wang. High-performance PMOS devices on (110)/<111> substrated/channel
with multiple stressors. In Int. Electr. Dev. Meeting, pages 67–70, 2006.
[36] T. Sato, Y. Takeishi, and H. Hara. Effects of crystallographic orientation on
mobility, surface state density, and noise in p-type inversion layers on oxidized
silicon surfaces. Japanese Journal of Applied Physics, 8:588–598, 1969.
[37] T. Sato, Y. Takeishi, H. Hara, and Y. Okamoto. Mobility anisotropy of electrons in
inversion layers on oxidized silicon surfaces. Phys. Rev. B, 4:1950–1960, 1971.
[38] M. Yang, M. Ieong, L. Shi, K. Chan, V. Chan, A. Chou, and H. Ng. High performance CMOS fabricated on hybrid substrate with different crystal orientaions. In
Int. Electr. Dev. Meeting, pages 453–456, 2003.
[39] S. Takagi, A. Toriumi, M. Iwase, and H. Tango. On the universality of inversion
layer mobility in Si MOSFET’s: Part I–effects of substrate impurity concentration.
IEEE Trans. Electr. Dev., 41:2357–2362, 1994.
[40] S. Takagi, A. Toriumi, M. Iwase, and H. Tango. On the universality of inversion
layer mobility in Si MOSFET’s: Part ii–effects of surface orientation. IEEE Trans.
Electr. Dev., 41:2363–2368, 1994.
[41] M. M. Chowdhury and J. G. Fossum. Physical insights on electron mobility in
contemporary FinFETs. IEEE Electr. Dev. Lett., 27:482–485, 2006.
[42] M. L. Green, D. Brasen, K. W. Evans-Lutterodt, L. C. Feldman, and K. Krisch.
Rapid thermal oxidation of silicon in N2 O between 800 and 1200o C: incorporated
nitrogen and interfacial roughness. Appl. Phys. Lett., 64:848, 1994.
[43] K. Lee. Physical understanding of low-field carrier mobility in silicon MOSFET
inversion layer. IEEE Trans. Electr. Dev., 38:1905–1912, 1991.
135
[44] M. V. Fischetti, F. Gamiz, and W. Hansch. On the enhanced electron mobility in
strained-silicon inversion layers. J. Appl. Phys., 92:7320–7324, 2002.
[45] K. Rim, K. Chan, L. Shi, D. Boyd, and M. Ieong. Fabrication and characteristics of
ultra-thin strained-Si directly on insulator (SSDOI) MOSFETs. In Int. Electr. Dev.
Meeting, pages 311–314, 2003.
[46] M. L. Lee and E. A. Fitzgerald. Strained Si, SiGe, and Ge channels for high-mobility
metal-oxide-semiconductor field-effect transistors. J. Appl. Phys., 97:011101, 2005.
[47] L. Washington, F. Nouri, S. Thirupapuliyur, G. Eneman, and R. Schreutelkamp.
pMOSFET wih 200 mobility enhancement induced by multiple stressors. IEEE
Electr. Dev. Lett., 27:511–513, 2006.
[48] L. Smith, V. Moroz, G. Eneman, and K. D. Meyer. Exploring the limits of stressenhanced hole mobility. IEEE Electr. Dev. Lett., 26:652–654, 2005.
[49] E. Wang, P. Matagne, L. Shifren, B. Obradovic, R. Kotlyar, S. Cea, J. He, Z. Ma,
R. Nagisetty, S. Tyagi, M. Stettler, and M. D. Giles. Quantum mechanical calculation of hole mobility in silicon inversion layers under arbitrary stress. In Int. Electr.
Dev. Meeting, pages 147–150, 2004.
[50] S. E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau, G. Glass, T. Hoffman,
J. Klaus, Z. Ma, M. Bohr, and Y. El-Mansy. A logic nanotechnology featuring
strained-silicon. IEEE Electr. Dev. Lett., 25:191–193, 2004.
[51] S. E. Thompson, G. Sun, K. Wu, J. Lin, and T. Nishida. Key differences for
process-induced uniaxial vs. substrate-induced biaxial stressed Si and Ge channel
MOSFETs. In Int. Electr. Dev. Meeting, pages 221–224, 2004.
[52] K. Matsuda. Strain-dependent hole masses and piezoresistive properties of silicon. J.
Comp. Elect., 3:273–276, 2004.
[53] N. D. Arora and G. S. Gildenblat. A semi-empirical model of the MOSFET
inversion layer mobility for low-temperature operation. IEEE Trans. Electr. Dev.,
34:89, 1987.
[54] K. Izumi, M. Doken, and H. Ariyoshi. CMOS devices fabricated on buried SiO2
layer formed by oxygen implantation into silicon. Electron. Lett., 14:593–594, 1978.
[55] E. Sano. A two-dimensional analysis for MOSFETs fabricated on buried SiO2 layer.
IEEE Trans. Electr. Dev., ED-27:2043–2050, 1980.
[56] E. R. Worley. Theory of the fully depleted SOS/MOS transistor. Solid-State
Electronics, 23:1107–1111, 1980.
[57] H. K. Lim and J. G. Fossum. Threshold voltage of thin-film silicon-on-insulator
(SOI) MOSFETs. IEEE Trans. Electr. Dev., ED-30:1244–1251, 1983.
136
[58] H. K. Lim and J. G. Fossum. Current-voltage characterisics of thin-fhilm SOI
MOSFETs in strong inversion. IEEE Trans. Electr. Dev., ED-31:401–408, 1984.
[59] R. H. Yan. Scaling the Si metal-oxide-semiconductor field-effect transistor into the
0.1-um regime using vertical doping engineering. Appl. Phys. Lett., 59:3315–3318,
1991.
[60] L. T. Su. Deep-submicrometer channel design in silicon-on-insulator (SOI) MOSFETs. IEEE Electr. Dev. Lett., 15:183–185, 1994.
[61] T. Sekigawa. Calculated threshold-voltage characteristics of an XMOS transistor
having an additional bottom gate. Solid-State Electron., 27:827, 1984.
[62] B. Yu, L. Chang, S¿ Ahmed, H. Wang, and D. Kyser. FinFET scaling to 10 nm gate
length. In Int. Electr. Dev. Meeting, pages 251–254, 2002.
[63] J. Kedzierski. Extension and source/drain design for high performance FinFET
devices. IEEE Trans. Electr. Dev., 50:952–958, 2003.
[64] J. G. Fossum. Physical insights on design and modeling of nanoscale FinFETs. In
Int. Electr. Dev. Meeting, pages 679–682, 2003.
[65] S. Takagi. Strained-Si- and SiGe-on-insulator (strained-SOI and SGOI) MOSFETs
for high performance/low power CMOS application. In Device Research Conference,
pages 37–40, 2002.
[66] T. Mizuno, N. Sugiyama, T. Tezuka, T. Numata, T. Maeda, and S. Takagi. Design
for scaled thin-film strained-SOI CMOS devices with higher carrier mobility. In Int.
Electr. Dev. Meeting, pages 231–234, 2002.
[67] K. Uchida, H. Watanabe, A. Kinoshita, I. Koga, T. Numata, and S. Takagi. Expeimental study on carrier transport mechanism in ultrathin-body SOI n- and
p-MOSFETs with SOI thickness less than 5 nm. In Int. Electr. Dev. Meeting, pages
47–50, 2002.
[68] I. Aberg, O.O. Olubuyide, C. NiChleirigh, I. Lauer, D.A. Antoniadis, R. Hull, and
J.L. Hoyt. Electron and hole mobility enhancements in sub-10 nm-thick strained
silicon directly on insulatoer fabricated by a bond and etch-band technique. In
Symp. VLSI Tech. Dig., pages 52–53, 2004.
[69] K. Uchida, R. Zednik, C. Lu, H. Jagannathan, J. McVittie, P. C. McIntyre, and
Y. Nishi. Experimental study of biaxial and uniaxial strain effects on carrier
mobility in bulk and UTB SOI MOSFETs. In Int. Electr. Dev. Meeting, pages
229–232, 2004.
[70] I. Aberg, Cait NiChleirigh, and J.L. Hoyt. Ultrathin-body strained-Si and SiGe
heterostructure-oninsulator MOSFETs. IEEE Trans. Electr. Dev., 53:1021–1029,
2006.
137
[71] D. Zhang, B. Y. Nguyen, T. White, B. Goolsby, and J. Mogab. Embedded siGe S/D
PMOS on thin body SOI substrate with drive current enhancement. In Symp. VLSI
Tech. Dig., pages 26–27, 2005.
[72] T. Mizuno, N. Sugiyama, T. Tezuka, Y. Moriyama, S. Nakaharai, and S. Takagi.
(110)-surface strained-SOI CMOS devices. IEEE Trans. Electr. Dev., 52:367–374,
2005.
[73] N. Collaert. Performance improvement of tall triple gate devices with strained SiN
layers. IEEE Electr. Dev. Lett., 26:820–822, 2005.
[74] K. Shin, C. O. Chui, and T. J. King. Dual stress capping layer enhancement study
for hybrid orientation FinFET CMOS technology. In Int. Electr. Dev. Meeting,
pages 988–991, 2005.
[75] P. Verheyen, N. Collaert, R. Rooyackers, R. Loo, and S. Biesemans. 0.25 drive
current improvement for p-type multiple gate FET (MuGFET) devices by the
introduction of recessed SiGe in the source and drain regions. In Symp. VLSI Tech.
Dig., pages 194–195, 2005.
[76] Z. B. Ren, P. M. Solomon, T. Kanarsky, and H. P. Wong. Examination of hole
mobility in ultra thin body SOI MOSFETs. In Int. Electr. Dev. Meeting, pages
51–54, 2002.
[77] V. P. Trivedi, J. G. Fossum, and F. Gamiz. A compact QM-based mobility model
for nanoscale ulta-thin-body CMOS devices. In Int. Electr. Dev. Meeting, pages
763–766, 2004.
[78] E. G. S. Paige. The drift mobility of electrons and holes in germanium at low
temperatures. Phys. Chem. Solids, 16:207, 1960.
[79] J. J. Rosenberg and S. C. Martin. Self-aligned germanium MOSFETs using a
nitrided native oxide gate insulator. IEEE Electr. Dev. Lett., 9:639, 1988.
[80] S. C. Martin, L. M. Hitt, and J. J. Rosenberg. p-channel germanium MOSFETs
with high channel mobility. IEEE Electr. Dev. Lett., 10:325–326, 1989.
[81] T. N. Jackson, C. M. Ransom, and J. F. DeGelormo. Gate-self-aligned p-channel
germanium MISFET’s. IEEE Electr. Dev. Lett., 12:605–607, 1991.
[82] F. Schaffler. High-mobility Si and Ge structures. Semiconductor Science and
Technology, 12:1515–1549, 1997.
[83] V. P. Kesan, S. Subbanna, P. J. Restle, M. J. Tejwani, J. M. Altken, S. S. Iyer, and
J. A. Ott. High performance 0.25 um p-MOSFETs with silicon-germanium channels
for 300k and 77k operation. In Int. Electr. Dev. Meeting, pages 221–224, 1991.
138
[84] E. Murakami, K. Nakagawa, A. Nishida, and M. Miyao. Fabrication of a straincontrolled SiGe/Ge MODFET with ultrahigh hole mobility. IEEE Trans. Electr.
Dev., 41:857–861, 1994.
[85] D. Reinking, M. Kammler, N. Hoffmann, M. H. Hoegen, and K. R. Hofmann.
Fabrication of high-mobility Ge p-channel MOSFETs on Si substrates. IEEE
Electronics Letters, 35:503, 1999.
[86] C. O. Chui, H. Kim, D. chi, B.B. Triplett, P. C. McIntyre, and K. C. Saraswat. A
sub-400o C germanium MOSFET technology with high-k dielectric and metal gate.
In Int. Electr. Dev. Meeting, pages 437–440, 2002.
[87] A. Ritenour, S. Yu, M. L. Lee, N. Lu, W. Bai, A. Pitera, E. A. Fitzgerald, D. L.
Kwong, and D. A. Antoniadis. Epitaxial strained germanium p-MOSFETs with
Hf O2 gate dielectric and TaN gate electrode. In Int. Electr. Dev. Meeting, pages
433–436, 2003.
[88] T. Maeda, K. Ikeda, S. Nakaharai, T. Tezuka, N. Sugiyama, Y. Moriyama, and
S. Takagi. High mobility Ge-on-insulator p-channel MOSFETs using Pt germanide
schottky S/D. Int. Electr. Dev. Meeting, 36:102–104, 2005.
[89] Y. Yeo, Q. Lu, T. King, C. Hu, T. Kawashima, M. Oishi, S. Mashiro, and J. Sakai.
Enhanced performance in sub-100 nm CMOSFETs using strained epitaxial silicongermanium. In Int. Electr. Dev. Meeting, pages 753–756, 2000.
[90] T. Tezuka, S. Nakaharai, Y. Moriyama, N. Sugiyama, and S. Takagi. High-mobility
strained SiGe-on insulator pMOSFETs with Ge-rich surface channels fabricated by
local condensation technique. IEEE Electr. Dev. Lett., 26:243–245, 2005.
[91] H. Shang, H. Okorn-Schimdt, J. Ott, P. Kozlowski, S. Steen, e. C. Jones, H. Wong,
and W. Hanesch. Electrical characterization of germanium p-channel MOSFETs.
IEEE Electr. Dev. Lett., 24:242–244, 2003.
[92] O. Weber, Y. Bogumilowicz, T. Ernst, and S. Deleonibus. Strained Si and Ge
MOSFETs with high-k/metal gate stack for high mobility dual channel CMOS. In
Int. Electr. Dev. Meeting, pages 137–140, 2005.
[93] Z. L. Xia, G. Du, X. Liu, J. Kang, and R. Han. Carrier effective mobilities in
germanium MOSFET inversion layer investigated by Monte Carlo simulation.
Solid-State Electr., 49:1942–1946, 2005.
[94] B. Ghosh, X. Wang, X. Fan, L. F. Register, and S. K. Banerjee. Monte carlo study
of germanium n- and pMOSFETs. IEEE Trans. Electr. Dev., 52:547–553, 2005.
[95] B. Ghosh, X. Wang, X. Fan, L. F. Register, and S. K. Banerjee. Monte carlo study
of strained germanium nanoscale bulk pMOSFETs. IEEE Trans. Electr. Dev.,
53:533–537, 2006.
139
[96] P. Zimmerman. High performance Ge pMOS devices using a Si-compatible process
flow. In Int. Electr. Dev. Meeting, pages 261–264, 2006.
[97] M. L. Lee, C. W. Leitz, Z. Cheng, A. J. Pitera, and e. A. Fitzgerald. Strained
Ge channel p-type metal-oxide-semiconductor field-effect transistors grown on
Si1−x Gex /Si virtual substrates. Appl. Phys. Lett., 79:3344–3346, 2001.
[98] E. A. Fitzgerald. MOSFET channel engineering using strained Si, SiGe, and Ge
channels. In ECS Meeting Proceedings, page 923, 2003.
[99] P. Y. Yu and M. Cardona. Fundamentals of Semiconductors. Springer, New York,
NY, 2001.
[100] Nam H. Kim and Bhavani V. Sankar. Finite element analysis and design. Lecture
Notes, September 2005.
140
BIOGRAPHICAL SKETCH
Guangyu Sun was born in Shandong, China, on June 9th, 1975. In 1992, he was
admitted to University of Science and Technology of China (USTC) in Hefei, China. From
1992 to 1996 he studied in USTC and received his B.S. degree in applied physics in 1996.
He subsequently participated in the master’s program and obtained the M.S. degree in
1999. In the fall of 1999, he came to the United States and became a Florida Gator. In
the spring of 2004, he entered Prof. Thompson’s group and has been studying the strain
effects on Si and Ge MOSFETs, pursuing a Ph.D. degree.
141
Related documents
Download