Digital Microfluidic Biochips: Towards Functional Diversity and More than Moore Krishnendu Chakrabarty

advertisement
Digital Microfluidic Biochips:
Towards Functional Diversity and
More than Moore
Krishnendu Chakrabarty
Department of Electrical and Computer Engineering
Duke University
Durham, NC 27708, USA
Objective
• Enable bench-top
biomolecular assays on
programmable and
cyberphysical microfluidic
biochips.
• Realistic biochemistry on
simple chips
Paradigm Shift in Biochemistry
Chip-scale
integration
(lab-on-chip)
Complex
Operations
Skilled
Technicians
Bulky
Equipment
3
Why Biochips?
Small
Automation
High
Throughput
4
By the way,
what’s a biochip?
It’s a miniature disposable for an
HTS - High-Throughput Screening (bio)analytical instrument
what does it do?
Essentially the same operations you did in high school
chemistry class:
dispensing,
mixing,
detecting,
discarding,just a lot cheaper and a lot faster than you did
5
Motivation for Microfluidics
Test tubes
Automation
Integration
Miniaturization
Robotics
Microfluidics
Automation
Integration
Miniaturization
Automation
Integration
Miniaturization
6
Microfluidics
• Continuous-flow lab-on-chip: Permanently etched microchannels,
micropumps and microvalves
• Digital microfluidic lab-on-chip: Manipulation of liquids as discrete
droplets
Multiplexing
Mixing: Static,
Diffusion Limited
Biosensors:
Optical: SPR, Fluorescence etc.
Electrochemical: Amperometric,
Potentiometric etc.
7
Electrowetting (Digital Microfluidics)
• Droplet actuation is achieved through electrowetting
 Electrical modulation of the solid-liquid interfacial tension
No Potential
A droplet on a hydrophobic
surface originally has a
large contact angle.
Applied Potential
The droplet’s surface energy
increases, which results in a
reduced contact angle. The
droplet now wets the surface.
8
Electrowetting Theory
• γLG and γSG remain constant
• γSL varies with the applied voltage
• All three interfacial tensions always
keep an equilibrium at the contact line.
γLG: liquid-gas surface tension
γSG: solid-gas surface tension
γSL: solid-liquid surface tension
Θ : contact angle
Lippmann-Young’s
equation:
 r 0 2
cos V  cos  0 
V
2 LG t
V
γLG
γSL
θ
γSG
Electrode
9
What is Digital Microfluidics?
• Lateral lateral droplet movement: Discretizing bottom
electrode into multiple electrodes
Finite-state machine?
State transitions?
Droplet Transport (Side View)
Note: oil is typically used to fill between the top and bottom
plates to prevent evaporation, cross-contamination
10
What is Digital Microfluidics?
Transport
25 cm/s flow rates,
order of magnitude
higher than
continuous-flow
methods
For videos, go to www.ee.duke.edu/research/microfluidics
http://www.liquid-logic.com/technology.html
11
What is Digital Microfluidics?
Splitting/Merging
12
Demonstrations of Digital Microfluidics
Droplet Formation
Synchronization of many droplets
13
Capabilities
• Digital microfluidic lab-on-chip
TRANSPORT
DISPENSING
MIXERS

INTEGRATE

REACTORS
DETECTION
Basic microfluidic functions (transport, splitting,
merging, and mixing) have already been
demonstrated on a 2-D array
Highly reconfigurable system
Digital Microfluidic
Biochip
Protein crystallization chip
14
Commercial Biochip (Product)
Waste handling
reservoir
(Advanced Liquid Logic, Inc.,)
– Pitch: 1.5 mm; Height: 0.475 mm
Actuation voltage: 50 V
– Fabricated on PCB board
– 1140 electrodes, 64 pins
– Used for n-plex bioassay
Source
reagent
Sample
solution
storage
reservoirs
Part of Chip Layout
Target solution
generation
Intermediate
dilution reservoir
Fabricated Chip
R2
R1
reactor-1
reactor-5
reactor-2
Unused
region
reactor-6
reactor-3
Unused
region
reactor-7
reactor-4
Unused
region
reactor-8
Dt1
Application Platform
Electrodes
Dt2
Applications in Molecular Biology
DNA-based
(1) DNA amplification
Protein-based
(1) Immunoassays
Cell-based
(1) Cell culture
Magnetic beadbased
immunoassay
*Chen et al.,
Microfluidics
and
Nanofluidics,
2015.
*Hua et al., Analytical chemistry,
2010.
(2) DNA pyrosequencing
(2) Protein crystallization
“MALDI-MS”
*Shih et al., Biosensors and
Bioelectronics, 2013.
(2) Cell lysis,
Electroporation
*Aijian et al.,
Lab On a Chip,,
2012.
*Boles et al., Analytical chemistry,
2011.
*Sandahl et al., microTAS 2013.
Acquisition News
• Jul. 23, 2013: Illumina, Inc. (NASDAQ:ILMN) acquired
Advanced Liquid Logic (ALL), focused on digital microfluidics
based on electrowetting.
• “For our research customers, ALL’s technology will further
streamline the industry's simplest NGS workflow, while for
clinical and applied markets, where ease of use and consistency
are especially valued, it will allow us to offer integrated, end-toend solutions,”
•
−−Christian Henry, Senior Vice President of Illumina, Inc.
NeoPrep Library Prep System
“Next Generation Sequencing Technology”
Sequencing-ready
libraries delivered
NeoPrep Library Prep
System
An easy-to-use system for preparing
high-quality NGS libraries compatible
with all Illumina sequencing platforms
NeoPrep Library Prep System
“Next Generation Sequencing Technology”
Design Methodology
• State-of-the-art methodologies for VLSI design
If constraints
are not met
Constraints:
VLSI chip
System Level
wanted
Area <…
Delay <…
Re-design
System Level
Module Level
…..
Module Level
Gate Level
Gate Level
…..
Circuit Level
Bottom-up design methodology
Circuit Level
…..
…..
Top-down design methodology
20
Biochip Design Methodology
• Bottom-up vs. top-down design methodologies
Constraints
If constraints
are not met
Biochip
Biochipwanted
designed
Re-design all
sub-blocks !
Biochip wanted
Biochip designed
Anticipated to be needed
Module 1
designed and verified
Module m
… designed and verified
Anticipated to be needed
Component 1
designed and verified
….. Component n
designed and verified
Bottom-up design methodology
Constraints
Module 1
designed
Constraints
…..
Constraints
Constraints
Component 1
designed
Module m
designed
…..
Component n
designed
Top-down design methodology
21
Synthesis Flow for Digital Microfluidic
Biochips
• Fluidic-Level Synthesis
– Architectural-Level Synthesis
• Resource binding
• Operation scheduling
– Physical-Level Synthesis
• Module placement
• Droplet routing
• Chip-level design
– Electrode addressing
– Wire routing
22
Fluidic-Level Synthesis vs. Chip-Level Design
Fluidic-level synthesis
Sequence graph
Scheduling result
Fluidic-level synthesis
Chip-level design
Placement & droplet
routing
Chip-level design
Used electrodes
Electrode addressing
Wire routing
Design Automation: Biochip Synthesis
• Full-custom bottom-up design  Top-down system-level design
Behavioral description of
biomedical assay
Plasma and Serum
sampled and assayed for glucose,
lactate, pyruvate and glutamate measurement
Architectural-level Synthesis
Mixer
Macroscopic structure of
biochip
Geometry-level Synthesis
Layout of biochip
Memory
Detector
Mixer
S1: Plasma, S2: Serum,
S3: Urine, S4: Saliva
Assay1: Glucose assay,
Assay2: Lactate assay,
Assay3: Pyruvate assay,
Assay4: Glutamate assay
S1, S2, S3 and S4 are
assayed for Assay1,
Assay2, Assay3 and
Assay4.
 Scheduling of operations
 Binding to functional
resources
 Physical design
24
Application model: from this…
Trinder’s reaction, a colorimetric enzyme-based
method
Several such reactions assays in parallel:
“in-vitro diagnostics” application
25
Glucose assay steps on the
biochip
Reconfigurable
architecture
Application model: …to this—a graph
“in-vitro diagnostics”
application
26
Another application example: “Colorimetric protein assay”
Sequencing Graph Model (Cont.)
Input
operations:
2mn Nodes
NOP
I1
……
I1
Sm
Sm
……
Im
……
……
……
…
S1
S1
……
………
Im+n
Rn
R1
Rn
R1
Im+1
Im
…
……
……
……
Im+1
……
Im+n
2mn
1
………
M1
2mn+1
…………
M1
3mn+1
…………
…………
Mm
Dn
…………
…………
………
Mm
3mn
D1
…………
Dn
4mn
…
…
Mixing
operations:
mn Nodes
………
………
………
D1
………
………
………
…
NOP
Detection
operations:
mn Nodes
Time Step
15
M1
Storage unit is
required during
this time period
25
Sequencing graph model of a
multiplexed bioassays
30
D1
28
Sequencing Graph Model (Cont.)
• Node representing the input operation
Sj
Rj
Ij
Ij+m
(Dispensing sample Sj, j=1,…, m) (Dispensing reagent Rj, j=1,…, n)
Assumption 1: The time
required to generate
and dispense droplets
from the reservoir is
determined mainly by
the system hardware
parameters
29
Sequencing Graph Model (Cont.)
• Node representing different types of mixing operations
S1
Ri
M1
(Mixing of sample S1 and
reagent Ri, i=1, …, n)
S2
Ri
M2
(Mixing of sample S2 and
reagent Ri, i=1, …, n)
Sm
…...
Ri
Mm
(Mixing of sample Sm and
reagent Ri, i=1, …, n)
Assumption 2: The time required for
complete mixing mainly depends
on the viscosity of the sample
30
Sequencing Graph Model (Cont.)
• Node representing the detection operations
Si+R2
Si+R1
D1
Optical detection of
Assay 1, e.g.,
glucose assay
D2
Optical detection of
Assay 2, e.g.,
lactate assay
Si+Rn
…...
Dn
Optical detection of
Assay n, e.g.,
glutamate assay
Assumption 3: The type of enzymatic assay
determines the optical detection time.
31
Sequencing Graph Model (Cont.)
Assumption 4: In contrast to the above operations,
droplet movement on a digital microfluidic array is
very fast. We can ignore the droplet movement
time for scheduling assay operations.
Side view
Top view
32
Architectural Synthesis
Source
Binding
Allocation
In S 2
Operation Area (cells) Time (s)
Mixing
2x2
6
Mixing
2x3
5
Mixing
2x4
4
Dilution
2x2
6
Dilution
2x3
5
Dilution
2x4
3
Storage
1x1
–
In S 1
Mixer1
R1
Diluter
Mixer2
R2
W Detector
33
In B
Dilute
In R 2
9
3
Mix
Detect
4
Detect
O9
O7
O3
Store
O11
Detector
O10
O4
6
7
Mix
Sink
Mixer1
Store
Mixer2
2
B
Diluter
S3
S2
In R 1
Scheduling
Placement
S1
1
5
10
8
Polymerase Chain Reaction (PCR)
34
Problem Formulation
• Inputs:
– Sequencing graph
– Microfluidic module library
– Design specification:
• Fixed architecture (ex: 5x5-array) and maximum assay completion time (ex:
400 sec)
• Limited # of non-reconfigurable devices
• Output: binding/schedule/placement of tasks
f
Mix
Mix
e
Dispense
Dispense
c
a
b
Detection
Mix
d
A sequencing graph
Resource
Area
Time
Mixing
2x2-array
7
2x3-array
4
Opt.
1x1 cell
30
Storage
1x1 cell
N/A
Microfluidic Module Library
35
Mathematical Programming Model (Cont.)
• Evaluated for a problem of the modest size:
– Plasma and serum are sampled and assayed for glucose, lactate
and pyruvate measurements; i.e., m = 2, n = 3
– Assume Nr = Nd = 1, and Na = 4
Time
step
Reservoirs
Reconfigurable
Mixers
for S1 for S2 for R1 for R2 for R3
Optical Detectors
for D1 for D2 for D3
1
S1
S1
S1
S2
S2
S2
R1
R2
R3
R1
R2
R3
I1
I1
I1
I2
I2
I2
I3
I4
I5
I3
I4
I5
1
2
3
4
5
6
7
8
9
10
11
12
2
3
4
5
6
d(Ii)=1
M1
13
M1
14
D1
19
M1
15
D2
20
M2
16
D3
21
24
d(D1)=5
d(D2)=4
d(D3)=6
M2
17
D1
22
18
d(M1)=5
d(M2)=3
D2
23
M2
7
8
9
1
6
7
2
12
8
3
18
9
4
5
10
13
14
11
15
10
D3
24
16
17
19
20
11
12
13
14
22
15
NOP
16
17
Optimal schedule obtained by using integer linear programming
Completion time is 17 time-slots; i.e., 34 seconds.
36
21
23
Mathematical Programming Model
37
Heuristics for the Scheduling Problem
• Heuristic algorithms

Modified List Scheduling algorithm (M-LS)



Extend well-known List Scheduling algorithm
Modified to handle the reconfigurable resources
(i.e., mixer and storage units)
Heuristic based on a Genetic Algorithm (GA)



Representation of chromosome (random keys)
Ad-hoc schedule construction procedure
Evolution strategy
38
Simulation Experiments (Cont.)
• Five examples (four samples) S1: Plasma, S2: Serum, S3: Urine, S4:
Saliva, Assay1: Glucose assay, Assay2: Lactate assay, Assay3:
Pyruvate assay, Assay4: Glutamate assay
Example
Description
Example 1
(Nr=Nd=1,Na=3) m=2, n=2
S1 and S2 are assayed for Assay1
and Assay2.
Example 2
(Nr=Nd=1,Na=4) m=2, n=3
S1, and S2 are assayed for Assay1,
Assay2, and Assay3.
Example 3
(Nr=Nd=1,Na=5) m=3, n=3
S1, S2, and S3 are assayed for
Assay1, Assay2, and Assay3.
Example 4
(Nr=Nd=1,Na=7) m=3, n=4
S1, S2, and S3 are assayed for
Assay1, Assay2, Assay3 and Assay4.
Example 5
(Nr=Nd=1,Na=9) m=4, n=4
S1, S2, S3 and S4 are assayed for
Assay1, Assay2, Assay3 and Assay4.
39
Simulation Experiments (Cont.)
• Simulation results
Example
Opt
LB
UB
M-LS
GA
Example 1
15
15
23
17
15
Example 2
17
17
25
19
17
Example 3
N/A
23
47
26
25
Example 4
N/A
23
43
27
26
Example 5
N/A
29
59
35
34
Ratio of Heuristic/Lower Bound
1.4
1.2
1
0.8
GA/LB
M-LS/LB
0.6
0.4
0.2
0
1
2
3
4
5
Example
40
Physical Design: Module Placement
• Placement determines the locations of each module on the
microfluidic array in order to optimize some design metrics
• High dynamic reconfigurability: module placement  3-D
packing  modified 2-D packing
Reduction from
3-D placement
to a modified
2-D placement
41
Unified Synthesis Methodology
(Su and Chakrabarty, DAC 2005)
42
Protein Assay
Sequencing graph model
• Maximum array area:
10x10
• Maximum number of
optical detectors: 4
• Reservoir counts: 1
for sample; 2 for
buffer;
2 for
reagent; 1 for waste
• Maximum bioassay
time: 400 s
43
Synthesis Results
Bioassay
completion time
T: 363 seconds
Biochip array:
9x9 array
44
Experimental Evaluation (Cont.)
• Defect tolerance
Bioassay
completion time
T: 385 seconds
(6% increase)
45
Droplet Routing
• Droplet routing
– Droplets transportation from one location to another for
reaction in a time-multiplexed manner
• Difference from traditional VLSI routing
– Electrodes can be temporally shared by droplets - no
permanent wires on a biochip
– Droplet routing and scheduling; scheduling is to
determine droplets’ locations at each time step
– Unique fluidic properties for correct droplet movement
Droplet Routing
S
Di
T
VLSI Routing
S
T
46
Routing Constraints
• Fluidic constraint
– For the correctness of droplet transportation
– No unexpected mixing among droplets of different samples T
– Static and dynamic fluidic constraints
Y
• Timing constraint
– Maximum transportation time of droplets
X
Minimum
spacing
Dynamic fluidic constraint
Static fluidic constraint
47
Download