FPGA Implementation of Adaptive Filtering Daphni S

advertisement
International Journal of Engineering Trends and Technology (IJETT) – Volume 19 Number 4 – Jan 2015
FPGA Implementation of Adaptive Filtering
Algorithm for Noise Cancellation in Speech Signal
Daphni S#1, Bamini S#2, Judith Johnsi J#3, Thavasumony D#4
#1
Assistant Professor, Department of ECE in Satyam College of Engineering, Midalakkadu, India.
#2
Student, ME –Applied Electronics in Satyam College of Engineering, Velliavilai, India.
#3
Part time Lecturer, Working in Muscat College, Sultanate of Oman, Changai, India.
#4
Lecturer, Working in Ambo University Ethiopia, Midalakkadu, India.
Abstract— Noise reduction of speech signals is a key
challenge problem in speech enhancement, speech recognition
and speech communication applications, etc. It has attracted a
considerable amount of research attention over past several
decades. The most widely used method is optimal linear filtering
method, which achieves clean speech estimate by passing the
noise observation through an optimal filter or transformation.
Most common problem in speech processing is the effect of
interference noise in speech signals, Interference noise masks of
the speech signal and reduces its Intelligibility. It is necessary to
remove the noise from the speech signals to get the clear
understanding of the information that the speech signal contains.
Normally, LMS adaptive filter is used for the process of noise
removal in the speech signals. The Direct Form LMS adaptive
filter is the most popular and most widely used adaptive filter,
not only because of its simplicity but also because of its
satisfactory convergence performance. In order to achieve the
above mentioned objective, the concept of adaptive filtering
algorithm is to be used. This algorithm is developed using
MATLAB version 7.8.0.347(R2009a) and Xilinx 9.1 MSE, the
comparison is done with LMS and NLMS Algorithms.
Keywords— FPGA, Adaptive Filtering Algorithm, DSP, Least
Mean-Square (LMS), MSE, Noise Cancellation.
I. INTRODUCTION
A filter is a device or process that removes some
unwanted component from a signal. The drawback of filtering
is the loss of information associated with it. Signal
combination in Fourier space is an alternative approach for
removal of certain frequencies from the recorded
signal. Adaptive filtering techniques must be implemented to
promote accurate solutions and a timely convergence to that
solution. Adaptive digital filters have a wide range of
communication and DSP applications such as adaptive
equalization, system identification and image restoration and
noise removal. The most widely used algorithm for adaptive
filters is the least mean-square (LMS) algorithm due to its
superior performance and simple calculation.
The LMS algorithm is well suited to software-based
simulation and analysis but is not applicable to hardware
implementation. The LMS adaptive algorithm minimizes
approximately the mean-square error by recursively altering
the weight vector at each sampling instance.
A. Speech Signal
Speech is an acoustic waveform that conveys information
from a speaker to a listener. Given the importance of this form
of communication, it is no surprise that many applications of
ISSN: 2231-5381
signal processing have been developed to manipulate speech
signals. Speech sounds can be divided into two broad classes
according to the mode of excitation.
The two classes are voiced sounds, unvoiced sounds. At a
linguistic level, speech can be viewed as a sequence of basic
sound units called phonemes. The same phoneme may give
rise to many different sounds or allophones at the acoustic
level, depending on the phonemes which surround it. Different
speakers producing the same string of phonemes convey the
same information yet sound different as a result of differences
in dialect and vocal tract length and shape.
The speech signal has certain properties: It is a onedimensional signal, with time as its independent variable, it is
random in nature, it is non-stationary, and i.e. the frequency
spectrum is not constant in time. Although human beings have
an audible frequency range of 20Hz to 20 kHz, the human
speech has significant frequency components only up to 4 kHz.
The most common problem in speech processing is the effect
of interference noise in speech signals. Interference noise
masks the speech signal and reduces its intelligibility. But
low-frequency noise is a much more effective mask when the
noise is louder than the speech signal, and at high sound
pressure levels it masks both vowels and consonants.
B. Objective of this Project
The main objective of this thesis is to investigate the
implementation of a real time noise cancellation application.
The real time implementation has been carried out by a
spartran-3E kit. First, the LMS, NLMS and AFA algorithms
are simulated using MATLAB. Then, these algorithms are
transferred to the spartran-3E kit which let them to work alone
in real time independent of MATLAB. Furthermore, the
performances of the algorithm can be measured by setting the
appropriate speech signal data.
II. LITERATURE REVIEW
A. An Embedded Adaptive Filtering System on FPGA
Changchoo,
Prasannalakshmi
Padmanabhan,
SusmitaMutsuddy, proposed NLMS adaptive filter on FPGA;
filter is an essential part of many DSP systems including echo
cancellers, channel equalizers and noise cancellers. In this
paper, we describe an embedded NLMS adaptive filtering
system consisting of a flexible LMS core and Xilinx
MicroBlaze soft processor, both of which are implemented on
a Xilinx Spartan-3 FPGA. The LMS adaptive filter core,
which features parameterizable bit-width and tap-length, has
http://www.ijettjournal.org
Page 190
International Journal of Engineering Trends and Technology (IJETT) – Volume 19 Number 4 – Jan 2015
been coded in Verilog-HDL, simulated with ModelSim and
synthesized and implemented using Xilinx ISE tools. The
normalization algorithm is coded in C and runs on the
MicroBlaze processor.
This embedded system has been implemented in a
Xilinx ‗Spartan-3 Starter Kit‘ board using Xilinx EDK toolset.
This system works at a clock frequency of 50MHz and uses
about 90% of XC3S200 Spartan-3 FPGA Slice resources.
Moreover, FPGAs have become more flexible and scalable as
they come with a processor embedded in them. For example,
Xilinx Virtex-II Pro device has a Power PC processor
embedded in it. There is also an option of embedding a soft
processor core, such as MicroBlaze, in various Xilinx FPGAs
that do not have the embedded hardcore processor.
B. Performance Limitations of Acoustic Echo Cancellers for
Hands free Telephony
Long,G, Ling.F and Proakis,J.G,[14] proposed a simple
transversal Finite Impulse Response (FIR) adaptive filter
using the Normalized Least Mean Squares (NLMS) algorithm
could achieve perfect cancellation. However, achieving a
perfect model in a realistic environment is a difficult problem.
The limitations addressed in this include: under
modelling of the acoustic impulse response (AIR) of the room,
room noise (fans, air conditioning), near end speech
disturbance (double talk), and the ability of a particular
algorithm to quickly converge and dynamically track a
changing AIR while objects move inside the room. Hands free
telephones (HFTs) however include many other components
which are not usually accounted for in conventional AEC
designs but need to be considered in order to achieve optimal
results during the identification process.
These include electronic circuit noise, finite precision and
truncation effects that occur when the analog signal is
processed in digital form, vibration and resonances in the
plastic enclosure as the loudspeaker emits a signal,
microphone mechanical vibration sensitivities (as opposed to
acoustical sensitivity) and nonlinearities which can occur in
the loudspeaker and signal amplifiers.A typical HFT is
illustrated in and normally consists of two Adaptive Filters
(AF). The first AF is used to remove acoustic echoes and the
second AF is used for cancelling echoes from an imperfect
hybrid as well as reflections from the line. Conventional
AECs utilize a linear adaptive transversal filter to model the
room impulse response and cancel the echo signal.
The NLMS algorithm is the baseline by which
performance of alternative models is measured but the linear
transversal filter architecture is incapable of reducing (for
example) nonlinear distortion and will almost always be in the
under modelled state for a typical room acoustic impulse
response. As a result, a revised echo path model is required
which includes all of the above limitations. In this the relative
seriousness of these limitations to the achievable echoes
cancellation. We outline the relevant performance
requirements according to the currently available standards,
review the characteristics of reverberant rooms and including
reverberation time and how this affects performance, and
present some measurement procedures.
ISSN: 2231-5381
III. ADAPTIVE FILTER AND LMS ALGORITHM
A. Noise and its Classifications
A disturbance that affects a signal and that may distort the
information carried by the signal. One more definition says
that, random variations of one or more characteristics of any
entity such as voltage, current, or data.
White Noise
Colored Noise
Impulsive Noise
B. Effects of Noise
Whether or not a sound is undesired by a person will
depend on a number of factors: Loudness, Frequency,
Continuity, Variation with time, Time of occurrence,
Information content, Origin of the sound, Recipient's state of
mind and temperament, Background noise level. In general,
the effects of noises are:
Hearing Loss
Other Health Effects
Speech Interference and Masking
C. Adaptive Noise Cancellation
The purpose of adaptive noise cancellation is to improve
the signal-to-noise ratio (SNR) of a signal by removing noise
from the signal that we receive. The adaptive cancellation
configuration is shown in Fig.1.
Fig.1 Adaptive Noise Cancellation
Here x (n) – input
N1 (n) – noise source
d (n) – desired signal
Also the signal s (n) is corrupted by N0 (n).
D. Adaptive Filters
There are four major types of adaptive filtering
configurations; adaptive system identification, adaptive noise
cancellation, adaptive linear prediction, and adaptive inverse
system. All of the above systems are similar in the
implementation of the algorithm, but different in system
configuration.
FIR filters are particularly useful for applications where
exact linear phase response is required. The FIR filter is
generally implemented in a non-recursive way which
guarantees a stable filter. FIR filter design essentially consists
of two parts approximation problem and realization problem.
http://www.ijettjournal.org
Page 191
International Journal of Engineering Trends and Technology (IJETT) – Volume 19 Number 4 – Jan 2015
The filter length of Adaptive system is inherently tied to many
of the other performance measures.
introducing the variance factor in the AFA Algorithm, which
produces next sets of filter coefficients.
E. Performance Measures in Adaptive Systems
Convergence Rate
Mean Square error
Computational Complexity
Stability
F. Implementation of Adaptive Filtering Algorithm for speech
signal on FPGA
In this project implementation, the adaptive filtering
algorithm (AFA) are implemented in MATLAB and also
implemented in Verilog hardware description language. To
evaluate the performance of the adaptive filtering algorithm
and extensive simulations have been performed.
The implementation process comprises of the following steps
1) To implement and evaluate the performance of
adaptive filtering algorithm, noisy speech signal is
passed through the adaptive Filter to remove the
noise from signal.
2) Least Mean Squares (LMS), one of the widely used
algorithms in many signals processing environment,
is implemented for adaption of the filter coefficients.
The cancellation system is implemented in
MATLAB. The simulation design of adaptive filter is
performed and analysed on the basis of Signal to
Noise ratio (SNR) and Mean Square Error (MSE).
3) The adaptive filtering algorithm is implemented in
time domain rather than in frequency domain. This is
done to accommodate the random nature of speech
signal.
4) The cancellation system is implemented in
MATLAB and Verilog hardware description
language. The simulation of MATLAB design of
adaptive filter is performed and analysed on the basis
of Signal to Noise ratio (SNR).
IV. RESULTS AND DISCUSSION
Fig.2 RTL Schematic Diagram of AFA Algorithm
B. Simulation Results
In this thesis, the modelsim Software Package is used for
the simulation of the AFA algorithms in noise cancellation
configurations. Simulations discuss the performances of these
algorithms with white Gaussian noise with different
parameters and different input signals. In the first part of this
experiment, the step size is assumed to be μ = 0.006 for LMS,
NLMS and AFA algorithms.
The simulation results of LMS, NLMS, and AFA
algorithm are shown in the following figures. The recorded
sentence ―hello‖ was used as the clean speech. This sentence
is conventionally used for speech processing. Hence the
variability of effect of noise on speech with frequency of the
signal is accounted.
Simulation Result of LMS Algorithm:
A. AFA Algorithm
In the existing algorithm, improve the performance in
terms of signal to noise ratio. By introducing a variance factor
in the AFA algorithm, the performance of the algorithm cans
be improved.
The AFA algorithm uses an FIR filter structure. The main
components of the filter consist of Filter block and L Weight
Updates. The filter blocks are simply Flip-flops. Each weight
Update component consists of a multiplier, an adder and a
buffer to store the new weights‘ update of the filter coefficient.
According to equation (2), the filter output is subtracted from
the desired signal to produce an error signal. The RTL
Schematic Diagram is given in Fig.2. The error signal is then
multiplied with μ and then with the input signal, and by
ISSN: 2231-5381
Fig.3 Speech and noise Signal
In this section the speech signal added with noise for the
fixed step size and original speech signal ―hello‖. White
Gaussian noise was generated and added to the original
speech signal. The original speech and noise signal is given in
Fig.3. The SNR of the signal corrupted with noise. A linear
http://www.ijettjournal.org
Page 192
International Journal of Engineering Trends and Technology (IJETT) – Volume 19 Number 4 – Jan 2015
combination of the generated noise and the original signal is
used as the primary input for the filter.
Denoised signal can be recovered by th LMS algorithm
with the appropriate weight update equation and also the fixed
step size 0.006 and it s recover the same speech signal hello
and white gaussian noise.
The LMS, NLMS and AFA MSE Signals are shown in
Fthe following figures. Also the AFA denoised signal is given
in Fig.6.
Fig.7 AFA MSE Signal
Comparision result:
The comparision has been done on the basis of signal to
noise ratio and mean square error for the same speech signal
―hello‖ and the step size 0.006 has been fixed for all the
algorithm and performance analysis can be shown in table 1 is
illustrated.
TABLE 1
PERFORMANCE ANALYSIS ON THE BASIS OF SNR AND MSE
Fig.4 LMS MSE Signal
Simulation Result of NLMS Algorithm:
ALGORITHM
SNR RATIO(in dB)
MSE
LMS
5.2416
2.8703e-07
NLMS
5.8490
1.1919e-07
AFA
6.7240
3.4661e-08
Verilog HDL simulation Result:
Xilinx ISE 9.l development environment was used for
implementation of above VLSI design. The design has been
transferred to Verilog HDL code and its the hardware
simulation done with the Xilinx ISE simulator and also
implemented on Spartan-3 FPGA.
Fig.5 NLMS MSE Signal
Simulation Result of AFA Algorithm:
Fig.8 Verilog Simulation of Adaptive Filtering Algorithm
V. CONCLUSIONS
Fig.6 AFA denoised Signal
ISSN: 2231-5381
The most common problem in speech processing is
the effect of interference noise in speech signals. Interference
http://www.ijettjournal.org
Page 193
International Journal of Engineering Trends and Technology (IJETT) – Volume 19 Number 4 – Jan 2015
noise masks the speech signal and reduces its Intelligibility. It
is necessary to remove the noise from the speech signals to get
the clear understanding of the information that the speech
signal contains. Normally, LMS adaptive filter is used for the
process of noise removal in the speech signals. The Direct
Form LMS adaptive filter is the most popular and most widely
used adaptive filter, not only because of its simplicity but also
because of its satisfactory convergence performance.
In recent signal processing domain we need to
remove or cancel the noise which is added during signal
passes through transmission medium. So we proposed novel
hardware architecture for Adaptive Noise cancellation LMS
filter. This filter follows sequence of steps to cancel unwanted
signal. We developed our hardware design for adaptive digital
filtering and it is developed by Verilog HDL.
This design has been synthesized using Xilinx 9.1 ISE and we
obtain simulation and synthesis results, using simulation we
verified our expected results and then the result of synthesis
has been targeted for Spartan-3E FPGA device and we got set
of parameter. This parameter has been compared with
previous work, after comparison we can conclude that we
obtain optimal result.
REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
[10]
[11]
[12]
[13]
AllamMousa, MarwaQados, Sherin Bader., (2012).― Speech Signal
Enhancement Using Adaptive Noise Cancellation Techniques,‖ Canadian
Journal on Electrical and Electronics Engineering Vol. 3, No. 7.
Asit Kumar Subudhi, BiswajitMishra, Mihir Narayan Mohanty., (2011).
―VLSI Design and Implementation for Adaptive Filter using LMS
Algorithm,‖ International Journal of Computer& Communication
Technology (IJCCT), Volume-2, Issue-VI.
Dimitris
G.
Manolakis,Vinay
K.
Ingle,
Stephen
M.
Kogon.,(2005).― Statistical and Adaptive Signal Processing‖, McGrawHill, ISBN: 1-58053-610-7.
Eriksson L.I, Allie M. C., and. Bremigan C. D., ( 2004). "Active Noise
Control using Adaptive digital Signal Processing‖ in Proc. ICASSP , New
York, pp. 2594-2597.
L.R.Rabiner, R.W. Schafer.,(2004).―Digital Processing of speech signals‖,
Pearson Education, ISBN 81-297-0272-X.
Long,G,Ling,F and Proakis,J.G.,(1989). ―The LMS algorithm with
delayed coefficient adaptation,‖ IEEE Trans. Acoust., Speech, Signal
Process., Vol. 37, No. 9, pp. 1397–1405.
Meher, P. K.
andMaheshwari,M.,(2011), ―Low adaptation-delay
LMSadaptive filter part-II: An optimized architecture,‖ in Proc. IEEE Int.
Midwest Symp.Circuits Syst., pp. 1–4.
Rocher,R, Menard,D ,Sentieys,O. and Scalart,P., (2004). ―Accuracy
evaluation of fixed-point LMS algorithm,‖ in Proc. IEEE Int. Conf.
Acoust., Speech,Signal Process., pp. 237–240.
Sayed. A. Hadei, M. lot fizad J.,(2010).―A Family of Adaptive Filter
Algorithms in Noise Cancellat ion for Speech Enhancement‖
International Journal of Computer and Electrical Engineering,Vol. 2 No.2.
Shigeji Ikeda and Akihiko Sugiyama., (1999). ―An Adaptive Noise
Canceller with Low Signal Distortion for Speech Codecs‖.ieee
transactions on signal processing, Vol. 47, no. 3, March 1999.
Simon Haykin.,(2008) "Adaptive Filters Theory" Pearson Education.
Van, L, D. And. Feng, W, S., (2001). ―An efficient systolic architecture
for the DLMS adaptive filter and its applications,‖ IEEE Trans.
CircuitsSyst. II, Analog Digital Signal Process, Vol. 48, No. 4, pp. 359–
366.
Widrow,B. and
Stearns,S.D.,(2005). Adaptive Signal Processing.
Englewood Cliffs, NJ, USA: Prentice-Hall.
ISSN: 2231-5381
http://www.ijettjournal.org
Page 194
Download