Kinetics Modeling and 3-Dimensional Simulation of

Kinetics Modeling and 3-Dimensional Simulation of
Surface Roughness during Plasma Etching
by
Wei Guo
B.E. Chemical Engineering
Tsinghua University, 2002
IASSACHUSES INS
OF TECHNOLOGY
M.S. Chemical Engineering
Tsinghua University, 2004
E
FEB 17 2009
LIBRARIES
M.S. Chemical Engineering Practice
Massachusetts Institute of Technology, 2006
Submitted to the Department of Chemical Engineering
in Partial Fulfillment of the Requirements for the Degree of
Doctor of Philosophy in Chemical Engineering
at the
Massachusetts Institute of Technology
Jan 2009
© 2009 Massachusetts Institute of Technology. All rights reserved
Signature of
A uthor ........ .........
Certified
By...................
....................... ..............
Department of Chemical Engineering
Jan 12, 2009
S.......
.
o....°......
.........
Herbert H. Sawin
Professor of Chemical Engineering and
Electrical Engineering and Computer Science
Thesis Advisor
Accepted
B y ..........................
William Deen
Professor of Chemical Engineering
Chairman, Committee for Graduate Students
ARCHIVES
Kinetics Modeling and 3-Dimensional Simulation of Surface Roughening during
Plasma Etching
by
Wei Guo
Submitted to the Department of Chemical Engineering on Jan 15, 2009
In partial fulfillment of the requirements for the degree of
Doctor of Philosophy in Chemical Engineering
Abstract
The control of feature profiles in directional plasma etching processes is crucial as
critical dimension, line-edge roughening, and other artifacts affect device performance
and process yields. A profile simulator is necessary to predictively model the etching
processes as well as roughness transfer and artifact evolution. The development of
profile simulators has been inhibited by the limited knowledge of the surface kinetic
processes and rate coefficients. A mixing-layer surface kinetic model was developed to
account for plasma-surface interactions. The simplified reaction set was carefully chosen
to reflect the overall etching characteristics and the rate coefficients were fitted to
experimental data. After the model was tested for accuracy using poly-Si etching in Cl 2
gas plasma, it was incorporated into the 3-Dimensional (3-D) Monte Carlo profile
simulator with a cell-based representation. The good match between the profile
simulation and the kinetics modeling results verified the capability of incorporating
complex chemical processes into the 3-D simulator.
The angular dependence on etching yield was modeled based upon the mixinglayer kinetics model. All the rate coefficients fitted previously at normal ion incidence
were kept constant without any further optimization. The angular curves were assigned to
all ion-initiated reactions based upon their characteristics and the overall etching yield
was calculated with a combination of individual etching yields. The variation of etching
yield with ion bombardment angle for poly-Si in Cl 2 plasma was modeled and showed
quantitative agreement with the experimental measurements, indicating the angular
curves for all the fundamental reactions are sufficient to account for the etching behavior
at off-normal angles at different operating conditions. With the modeling of angular
dependence, the kinetics model is complete and can be used to explore the surface
roughness in the 3-D profile simulator.
The roughening of the SiO 2 surface in fluorocarbon plasma was explored using
the 3-D Monte Carlo profile simulator. The kinetics of SiO 2 etching in C4Fs/Ar plasma
was first developed in a similar fashion to that for poly-Si etching, with the additional
assumption of equal reaction rates among all ionic or neutral radicals. All the ionic and
neutral species experimentally measured were taken as inputs and the etching yield were
predicted over a range of neutral-to-ion flux ratios and ion energies. Angular dependence
on etching yield was also modeled to take into account the etching at off-normal angles.
Then the kinetics was incorporated into the 3-D simulator and a good match was found
between the experimental and profile simulation results in terms of etching yield and
surface composition at various conditions, suggesting the kinetics after incorporation is
capable of predicting complex surface chemistry of oxide substrate with fluorocarbon
plasma. Then SiO 2 surface roughness was simulated as functions of ion bombardment
angle and neutral-to-ion flux ratio. The surface patterns, preferential orientation with
respect to the ion beam and spatial frequency of the simulated surface showed a
qualitative match with the experimental observations. The transition from coarsening to
smooth surface with the increase of neutral-to-ion flux ratio was captured and related to
the extent of polymerization on the surface. At low neutral-to-ion flux ratio, the modeled
surface composition contour confirmed the formation of polymer islands around the
roughened area, leading to etching inhomogeneity on the leading and shadowing side of
features. The formation of polymer patchiness according to the simulation verified the
polymer-induced micro-masking mechanism people proposed mechanistically to explain
roughening on dielectric films. At high neutral-to-ion flux ratio, the simulation showed a
higher extent of polymerization and yet the polymer deposit fairly uniformly and result in
a smooth surface. The 3-D simulator coupled with detailed kinetics provided insights to
the surface roughening mechanism on a microscopic basis.
Thesis Supervisor: Herbert H. Sawin
Professor of Chemical Engineering and Electrical Engineering & Computer Science
Acknowledgements
I would like to thank my thesis advisor, Professor Herbert H. Sawin, for being a great
advisor and providing invaluable guidance and encouragement. His knowledge and
passion have always motivated me. I would like to thank my thesis committee, Professor
Gleason and Professor Barton for their help and suggestions. I would like to acknowledge
Semiconductor Research Corporation (SRC) for the financial support.
Many thanks go to the members of the plasma processing lab for sharing the great times
with me in the lab. Dr. Bo Bai for teaching me about the kinetics model. To Dr. Yunpeng
Yin for teaching me how to etch samples and maintain the vacuum system. To Dr.
Hiroyo Kawai for teaching me about the profile simulation. To Dr. Ju Jin An for sharing
your experience on semiconductor fabrication and etching.
I would like to extend my gratitude to Peter and Glori for their assistance.
I would like to gratefully acknowledge Andy, Mandy, Jennifer, Manda, Ed, Brannon,
Peter, Hu, Ming, Lian for the great experience of the summer internship at Novellus.
I would like to thank all my friends at and outside of MIT. To Fei Chen, Liang Chen, Jun
Li, Jie Chen, Huan Zhang, Tao Ni, Linlin Ye, Dong Guo, Yana Wang, Mingjiang Zhan,
Dave, thank you for making my days at MIT and in US very enjoyable.
Finally, I would like to thank my family, mother, father, brother, aunt for supporting me
to fulfill my goal and my husband, Hang Zhou, for his love and encouragement.
Table of Contents
1.
Introduction.............................................................................................................
1.1
1.2
1.3
1.4
1.5
1.6
Integrated circuit manufacturing..............................................................
Microelectronics processing ..................................... .....
................
P lasm a etching .......................................... ..................................................
Line-edge roughness (LER) ..................................... ......
................
Feature scale simulation.................................................
Kinetics modeling of etching processes.......................................................
1.6.1
Reactive Site Modeling..............................................
1.6.2
Molecular Dynamics .......................................................................
1.7
Thesis objective ............................................................... ...........................
1.8
References ................................................................
...................................
2. Mixing-layer kinetics model and the cellular realization in the 3-D profile
simulator ..................................................
2.1
Introduction .......................................................................................................
2.2
Fundamental assumptions of mixing-layer kinetics model ...........................
2.3
Surface Interactions and Reaction Rate Calculations ....................................
2.3.1
Ion Incorporation .......................................................... .........................
2.3.2
Neutral Absorption..........................................................................
2.3.3
Physical Sputtering .........................................................................
2.3.4
Vacancy generation..........................................................................
2.3.5
Ion-induced etching .........................................................................
2.3.6
Densification ........................................................................................
15
15
15
17
18
21
23
24
26
28
29
33
33
34
38
39
40
40
43
43
43
2.3.7
Dangling bond annihilation.........................
......
.................. 44
2.3.8
Spontaneous Reactions .....................................................................
44
2.3.9
Surface Recombination...................................................................
44
2.4
Governing equations and numerical realization ......................................
45
2.5
Incorporation of the mixing-layer kinetics in 3-D Monte Carlo Profile
Sim ulator....................................... ......................................................................... 46
2.6
Results and discussion ............................................................................
52
2.6.1
Poly-Si etching in Cl/Ar ..................................................................
. 54
2.6.2
Polysilicon etching in Cl/Cl ........................................
........... 56
2.6.3
Polysilicon etching in C12/C12 ......... ........ . ..................... ........ . . . . . . . . . 60
2.6.4
Si etching in Cl 2 plasmas ................................................................
60
2.6.5
Comparison to Other Studies ........................................
........... 61
2.7
C onclusions ........................................................
........................................ 67
2.8
R eferences ............................................. ...................................................... 68
3.
Modeling of angular dependence of etching yield.................................
3.1
Introduction .......................................................................................................
71
71
3.2
3.3
3.4
3.5
72
80
92
93
Angular dependence for fundamental reactions ......................................
Results and discussions............................................................................
C onclusions.................................................... ...............................................
R eferences ..................................................... ..............................................
4.
Profile simulation of SiO 2 surface roughness in C4F/Ar plasma................... 95
4.1
Introduction........................
............................................
95
4.2
Assumptions for the kinetics model of SiO 2 in the C4F8/Ar plasma.............. 96
4.3
Surface Reactions and etching yield expressions ........................................... 98
4.4
Angular Dependence of Etching ..
...............................
101
4.5
Kinetics modeling results and discussions............................................. 102
4.5.1
Modeling of SiO 2 etching in C4F8/Ar at normal ion incidence............ 102
4.5.2
Modeling of SiO 2 etching at off-normal ion angles ............................. 104
4.6
Profile simulation of roughening on SiO 2 in C4F8/Ar plasma ........................ 108
4.6.1
Surface roughening of SiO 2 at different off-normal angles................. 108
4.6.2
Surface roughening of SiO 2 at different neutral-to-ion flux ratios ......... 114
4.6.3
Simulation of surface polymerization .....................................
.........115
4.6.4
Statistical analysis of profile simulation .............................................. 123
4.7
Conclusions..........................
..................................................................... 124
4 .8
R eferences ...................................................................................................... 125
5.
6.
Etching kinetics and surface roughening of low-k dielectrics .......................
127
5.1
Introduction..........................
.....................................................................
5.2
Experim ental procedure ...............................................................................
5.2.1
Film Properties........................................................................................
5.2.2
Etching Process ....................................................................................
5.2.3
C haracterization ...................................................................................
5.3
Results and discussion .................................................................................
5.3.1
A ngular etching yield...........................................................................
5.3.2
Post-etch surface roughening on low-k dielectrics ..............................
5.3.3
Post-etch surface composition of low-k dielectrics .............................
5.4
C onclusions..........................
.....................................................................
5.5
References..........................
.......................................................................
127
128
129
130
132
132
132
136
145
154
154
Conclusions and Future Work................................
6.1
6.2
Conclusions...... ...............................................................................
Future w ork ..................................................................................................
157
157
159
Table of Figures
Figure 1.1 Subtractive processing in integrated circuit manufacturing. (a) Film to be patterned is
deposited on the substrate. (b) Photoresist is spin-coated over the film. (c) The photoresist is
exposed to radiation through the patterned mask. (d) The exposed part of the resist is removed (for
positive photoresist). (e) The unprotected part of the underlying film is etched. (f) Photoresist is
removed. ...............................
.... ................. 16
Figure 1.2 Plasma etching of polysilicon film in chlorine-based chemistry. Positive chlorine ions strike
the surface with high energy and high directionality. The reactive neutrals (Cl, C12) are transported
with no preferred directionality. After the reaction occurs on the surface, product is removed, and the
etching continues. .................................................
................... 17
Figure 1.3 The AFM images of the feature sidewall at different step in the etch process. (a) After
photoresist development, before plasma etching, (b) after N2-H2 organic ARC open, (c) after 90
seconds of oxide etching in fluorocarbon plasmas. (Ref. 10) .....................
........ 19
Figure 2.1. Mixing layer on top of substrate. Net etching and deposition can take place with mass
conserved within the mixing layer ......................................
..................... 38
Figure 2.2. 3-D simulation domain. Simulation domain was discretized into cellular cubes with dimension
of 2.5 nm. Particles were introduced from the source plane one at a time, and as they interact with
the surface, the surface composition information was updated to track the etching and deposition of
materials during the process................................................
........ 47
Figure 2.3. Cellular realization of the kinetics modeling in 3-D Monte Carlo profile simulator............... 49
Figure 2.4. Poly-Si etching in in Cl/Ar+ and comparison of experiments (dots), translating-layer kinetics
modeling (dash lines) and 3-D MC profile simulation (solid lines)......................................
56
Figure 2.5. Poly-Si etching in in CI/Cl+ and comparison of experiments (dots), translating-layer kinetics
modeling (dash lines) and 3-D MC profile simulation (solid lines)......................................
58
Figure 2.6. Poly-Si etching in in C12/C12+ and comparison of experiment (dots), translating-layer kinetics
modeling (dash lines) and 3-D MC profile simulation (solid lines). Neutral-to-ion flux ratio is 500. 59
Figure 2.7. Poly-Si etching in Cl discharge including silicon etching in Cl/C1+ beams (diamond), in C12/C 2+
beams(square) and in Cl 2 plasmas (triangle) and comparison of experiment (dots), the mixing-layer
kinetics modeling (dashed lines) and 3-D MC profile simulation (solid lines). Neutral-to-ion flux
ratios are all 500......................................................
....... ............... 62
Figure 3.1. Ar sputtering yield of Poly-silicon as a function of off-normal angle at various ion energy levels.
The solid line was used in the modeling work to represent the physical sputtering angular dependence.
........................
.............. ...................................................................
74
Figure 3.2. Normalized etching yield vs. off-normal angle of ion incidence for poly-silicon etching in
chlorine plasma. Dots are experimental data, measured by Chang and Vitale et al. Solid line is the
angular dependence for ion-enhanced etching used in our kinetics model ...................................... 75
Figure 3.3. Angular dependence of vacancy generation vs. off-normal angle. Dots are SRIM calculation
results of Ar sputtering of poly-silicon at E=500 eV: square dots with full cascade damage, diamond
with Kichin-Pease damage. Solid line is the angular dependence for vacancy generation used in our
m odel..........................................
.................. 79
Figure 3.4. Etching yield of poly-silicon vs. off-normal angle of ion incidence at 160 eV. Dashed line is the
experimental data collected in Cl 2/Ar + plasma. Solid line is the kinetics modeling result at identical
condition. (a) Neutral-to-ion flux ratio = 3.5, (b) Neutral-to-ion flux ratio = 20, (c) Neutral-to-ion flux
ratio = 13 1........................................................................................................... ..................... 84
Figure 3.5. Normalized etching yield of poly-silicon vs. off-normal angle of ion incidence at 260 eV. (a)
Neutral-to-ion flux ratio = 3.5, (b) Neutral-to-ion flux ratio = 20, (c) Neutral-to-ion flux ratio = 146.
Dashed line is the experimental data of poly-silicon substrate etched in Cl 2/Ar + plasma. Solid line is
the kinetics modeling result at identical condition .....
...................................
86
Figure 3.6. Surface elemental composition vs. off-normal angle of ion incidence. Poly-silicon substrate was
etched in Cl 2/Ar + plasma. a) E=160 eV, Neutral-to-Ion flux ratio=3.5, b) E=160 eV, Neutral-to-Ion
flux ratio=131, c) E=260 eV, Neutral-to-Ion flux ratio=3.5, d) E=260 eV, Neutral-to-Ion flux
ratio=146. Dashed line is the experimental data measured using XPS and Solid line is the kinetics
modeling result at identical condition...........................................
91
Figure 3.7. Normalized etching yield vs. off-normal angle of ion incidence at saturation regime. Dotted line
is the experimental data measured by Chang et al, using 50 eV C1+ ions and a beam of Cl atoms.
Dashed line is the experimental data measured by Vitale et al using 300 eV Cl+/Cl2 + ions. Solid line
is the modeling result in this paper using 260 eV Cl+/C12 + ions. In three studies, the surface is
saturated with adsorbed chlorine.......................................
.......................................... 92
Figure 4.1. Ion and neutral spectra in C4F8/Ar plasma at various conditions. RF 400W, DC 350 V. (a) Ion
spectra, (b) Neutral spectra. ..................
.............................................
99
Figure 4.2. Etching yield of oxide vs. neutral-to-ion flux ratio at various conditions. Hollow dots are
experimental data and filled dots are modeling results. C4F8/Ar-10%-20%, 4-18 mTorr beam source
pressure. ..............
.......
.....................................................
103
Figure 4.3. Surface composition of oxide after etching vs. neutral-to-ion flux ratio at DC 350V. Dash lines
are experimental data measured by AR-XPS. Solid lines are modeling results ............................ 104
Figure 4.4. Etching yield of oxide vs. off-normal ion angle. It is in C4F8/Ar plasma, RF 400 W, DC 350 V.
Dots are experimental data. Solid line is the modeling result. (a) N-to-I flux ratio= 5, (b) N-to-I flux
ratio= 20..............................................................
106
Figure 4.5. Modeled surface composition of oxide after etching. It is in C4F 8/Ar plasma, RF 400 W, DC
350 V, 10-20% C4F8/Ar, 4-18 mTorr beam source pressure. Dots are experimental data. Solid line is
the modeling result. (a) N-to-I flux ratio= 5, (b) N-to-I flux ratio= 20 ....................................
107
Figure 4.6. Simulation of SiO 2 surface etched at different off-normal ion angles. The etching chemistry is
10%C 4F 8/Ar, N/I=5, E=350 eV. The simulation domain is 250 nm by 250 nm and the vertical scale is
±35 nm and the arrows define the ion beam direction. Experimental AFM images were measured at
identical operating conditions and the sampling range is 1 [im byl gm. For both simulation and
experimental 80 nm is etched. The surface starts to roughen at 600 off-normal incidence, whereas in
the previous cases the surface remains smooth.......................
..........................
110
Figure 4.7. Comparison of simulated surface topography with experiment contour of the same image
dimension. The etching chemistry is 10%C 4F 8/Ar, N/I=5, E=350 eV, 750 off-normal angle. The
simulation domain is 250 nm by 250 nm and the vertical scale is ±35 nm and the arrows define the
ion beam direction.................................................................................................................. 113
Figure 4.8. B-H model of curvature-dependent etching at off-normal ion incidence. When the ions bombard
the surface at off-normal incidence, the amount of energy deposited at B is larger than at A because
the distance from the center of energy distribution contour to the point on the surface is clearly
smaller for point B than for point A..............................................
113
Figure 4.9. Simulation of SiO 2 surface at various neutral-to-ion flux ratios. (a) neutral-to-ion flux ratio is 5,
(b) neutral-to-ion flux ratio of 20. The etching chemistry is 10%C 4F 8/Ar, E=350 eV, 750 off-normal
angle. The simulation domain is 250 nm by 250 nm and the vertical scale is ±35 nm and the arrows
define the ion beam direction. At low N/I flux ratio, curvature-dependent etching is dominant,
forming striations perpendicular to ion beam direction. At high N/I flux ratio, chemical etching is
dominant, forming isotropic topography.................................................... 115
Figure 4.10. Simulation of composition fraction of post-etch SiO2 surface. The operating condition of
C 4Fs/Ar is N/I=5, E-350eV, 75' off-normal angle, 80 nm etched. (a) Post-etch surface topography
with ion flux come in from the right, and (b) Si, O, C and F composition fraction contour
corresponding to the topography in (a). The vertical scale is 0.5 for Si and 0, 0.15 for C and 0.2 for F.
C and F deposit and form polymer islands, corresponding to the roughened area, which supports the
118
micro-masking induced roughening mechanism .......................................
Figure 4.11. Pore filling seeds micromask formation on porous low-k film. (a) The polymer fills into the
pores, (b) Simultaneous etching of the porous low-k film forms polymer micromasks even under
conditions of high ion bombardment, (c) Selectivity between the deposited polymer and the substrate
............... 120
...........
...........................
roughens the surface. ................................
Figure 4.12. Simulation of composition fraction on post-etch Si0 2 surface. The operating condition of
C 4F8/Ar is N/1=20, E=350eV, 75" off-normal angle, 80 nm etched. (a) Post-etch surface topography
with ion flux come in from the right, and (b) Si, O, C and F composition fraction contour
corresponding to the topography in (a). The vertical scale is 0.5 for Si and 0, 0.2 for C and 0.2 for F.
C and F deposit uniformly on the entire surface and lead to a smooth post-etch surface with polymer
..................................................................................... 122
passivation .
Figure 4.13. Roughening with different random seeds. The operating condition of C 4F 8/Ar is N/I=5,
E=350eV, 75 ° off-normal angle, 80 nm etched. The simulation domain is 250 nm by 250 nm and the
vertical scale is +35 nm and the arrows define the ion beam direction ......................................
123
Figure 5.1. Schematic of a newly designed beam chamber system. The beam source locates at the upper
part of the main chamber and the plasma is inductively coupled. This beam system has the flexibility
to control the plasma chemistry, ion bombardment energy, and incident angle independently ........ 131
Figure 5.2. Angular etching yields of low-dielectrics in the low polymerizing 10%C 4F8/Ar plasma. In all
cases the plasma source power is 400 W, dc bias is 350 V, beam source pressure level is 4mTorr. a)
silicon dioxide, coralTM and porous ULK films, b) dense ULK films with 3.3%, 5.3%, and 7.9%
137
methyl group content. ...............................................
Figure 5.3. AFM images of low-k dielectrics before etching. (a)CoralTM film, RMS= 0.4nm, (b) porous
ULK film, RMS= 0.47 nm, (c) dense ULK film with 3.3% methyl group, RMS=0.60 nm. (d) dense
ULK film with 5.3% methyl group, RMS=0.62 nm, (e) dense ULK film with 7.9% methyl group,
RMS=0.76 nm. The vertical scale of both films is 15 nm............................................................ 138
Figure 5.4. AFM images of low-k dielectrics after etching at 400 off-normal angle in C 4F/Ar discharge.
The plasma source power is 400 W, dc bias is 350 V, beam source pressure level is 4mTorr. Ion
dosage is 3*1017 ions/cm 2 for all films. Ions reach the surface from the upright direction. (a)Coral TM
film, RMS=0.53 nm after 122 nm is etched, (b) porous ULK film, RMS= 0.62 nm after 120 nm is
etched, (c) dense ULK film with 3.3% methyl group, RMS=1.06 nm after 110 nm is etched, (d) dense
ULK film with 5.3% methyl group, RMS= 1.97 nm after 113 nm is etched, (e) dense ULK film with
7.9% methyl group, RMS= 1.70 nm after 80 nm is etched. The vertical scale of the image is 15 nm.
...................................................................................
14 0
Figure 5.5. Surface AFM images of low-k dielectrics after etching at 750 off-normal angle in C 4F8/Ar
discharge. The plasma source power is 400 W, dc bias is 350 V, beam source pressure level is
4mTorr. Ion dosage is 3*1017 ions/cm 2 for all films. Ions reach the surface from the upright direction.
(a)Coral TM film, RMS=1.31 nm after 203 nm is etched, (b) Porous ULK film, RMS= 1.25 nm after
182 nm is etched, (c) dense ULK film with 3.3% methyl group, RMS=1.41 nm after 244 nm is etched,
(d) dense ULK film with 5.3% methyl group, RMS= 4.58 nm after 228 nm is etched, (e) dense ULK
film with 7.9% methyl group, RMS= 12.6 nm after 195 nm is etched. The vertical scale of the image
is 15 nm ..................................................................................................................................
... 143
Figure 5.6. Surface AFM images of low-k dielectrics after etching at 820 off-normal angle in 7%C 4F 8/Ar
discharge. The plasma source power is 400 W, dc bias is 350 V, beam source pressure level is
4mTorr. Ion dosage is 1.5*1017 ions/cm 2 for all films. Ions reach the surface from the upright
direction. (a)CoralTM film. RMS=0.31 nm after 47 nm is etched, (b) porous ULK film, RMS= 0.77
nm after 47 nm is etched, (c) dense ULK film with 3.3% methyl group, RMS=1.12 nm after 88 nm is
etched, (d) dense ULK film with 5.3% methyl group, RMS= 1.82 nm after 91 nm is etched, (e) dense
ULK film with 7.9% methyl group, RMS= 2.86 nm after 75 nm is etched. The vertical scale of the
image is 15 nm
................................................................... ...................... 144
Figure 5.7. Surface composition fraction of low-k dielectrics after etching. (a) CoralTM film. (b) Porous
ULK film, (c) dense ULK film with 3.3% methyl group, (d) dense ULK film with 5.3% methyl group,
(e) dense ULK film with 7.9% methyl group..... .........
....................................................
148
Table of Tables
Table 2.1. Physical sputtering coefficients used in the model. MP, Z,
M, Z, are
the mass, atomic
number of the projectile ions and the target atoms, 0 is the incidence angle ................................ 42
Table 2.2. List of reactions with the associated parameters in the models for silicon etching in chlorine
related system ...........................................................
......... ............. 52
Table 2.3. Comparison of the mixing-layer kinetics modeling results and the published data in the literature.
...................................................................................
................................................
66
Table 3.1. Angular dependence expressions of physical sputtering, ion-induced etching and vacancy
generation used. ...................................
... .... ................. 78
Table 3.2. Ion and neutral composition in C12/Ar plasma at different neutral-to-ion flux ratios measured by
M ass Spectroscopy...................
..... ............................
........................
...... .........
81
Table 4.1. Complete list of the reactions included in the kinetics model ........................................
100
Table 4.2. Angular dependence expressions of physical sputtering, ion-induced etching and vacancy
generation used in the kinetics m odel. ........................................................................... ............. 102
Table 4.3. RMS roughness with different random seeds. The operating condition of C4F8/Ar is N/I=5,
E=350eV, 75* off-normal angle, 80 nm etched .................................................... 123
Table 5.1. Properties and calculated angular dependence ratios of low-k dielectrics..........................
130
1.
Introduction
1.1
Integrated circuit manufacturing
Since its inception in the 1960's, integrated circuits (IC) have been applied in
every modem electrical device such as cars, television sets, cellular phones, etc. IC chips
consist of a large number of components such as metal-oxide-semiconductor (MOS)
transistors, resistors and capacitors, and they are wired together to perform a particular
circuit function. Over the past few decades, technology has improved in making these
circuits smaller, increasing the number density of transistors integrated on each chip in
order to achieve higher performance and more complex functionality while minimize
power consumption and cost. The component size is often characterized by the smallest
lateral feature size that is printed on a wafer surface during its fabrication. While this
thesis is being written, 65 nm processors are being manufactured, and 45 nm and 32 nm
processors are under development. As the feature sizes shrink, many manufacturing steps
become challenging. The control over the feature profiles and surface roughness on the
fabricated wafer becomes critical in order to maintain good device performance and
process yields.
1.2
Microelectronics processing
The fabrication of integrated circuits involves many consecutive processes as
illustrated in Figure 1.1.
The film to be patterned is deposited on a silicon substrate
(Figure 1.1a), and is coated with a light-sensitive material called a photoresist (Figure
1.1b). The photoresist is then exposed to light through a patterned photomask (Figure
1.1c), making the exposed part chemically less stable in the case of a positive photoresist
and more resistant in the case of a negative photoresist. Figure 1.1 illustrates the process
for a positive photoresist. The exposed part of the resist is then removed by a developer,
leaving the unexposed part of the photoresist with the desired pattern transferred from the
photomask.
This process of patterning a photoresist is called lithography.
After
patterning, the photoresist acts as a protective mask, and areas of the underlying film that
are not covered by the photoresist are etched away via plasma etching (Figure 1.1 e).
After etching, the photoresist is stripped, leaving the patterned film (Figure 1.1 f). These
steps are repeated many times to produce a final device with multiple layers and different
patterns. This thesis focuses on one of the key steps of this fabrication process, the
plasma etching (Figure 1.1e). In the following section, plasma etching process will be
discussed in greater detail.
Jll U l
7 1i
..
..
(a)
F-
_
(b)
1
-1F7
F]
-
(d)
(e)
(f)
Figure 1.1 Subtractive processing in integrated circuit manufacturing. (a) Film to be
patterned is deposited on the substrate. (b) Photoresist is spin-coated over the film. (c)
The photoresist is exposed to radiation through the patterned mask. (d) The exposed part
of the resist is removed (for positive photoresist). (e) The unprotected part of the
underlying film is etched. (f) Photoresist is removed.
Plasma etching
1.3
Plasma is a low pressure, partially ionized gas consisting of ions, excited neutral
radicals and free electrons. The ions and excited neutral species are produced by the
collision of electrons (accelerated by the electric current applied to the plasma) with
neutral gas molecules. As electrons have relatively low mass and move faster than ions,
a surface exposed to plasma quickly builds up a negative charge and develops a negative
potential with respect to the plasma. The resulting electric field attracts and accelerates
the ions and repels the electrons to maintain a net zero flux of charges to the surface.
Energetic ions strike the surface with directionality, allowing for anisotropic etching.
Figure 1.2 shows an example of etching a poly-Si film in Cl 2 plasma.
@
Plasma
@
I
0
*3
d,
(p9W
*
SiO 2
Figure 1.2 Plasma etching of poly-Si film in chlorine-based chemistry. Positive chlorine
ions strike the surface with high energy and high directionality. The reactive neutrals
(Cl, Cl 2) are transported with no preferred directionality. After the reaction occurs on
the surface, product is removed, and the etching continues.
Reactive neutral species and energetic ions work synergistically during etching
process, which is known as ion-enhanced etching. 2 If the surface is etched by a chemical
reaction involving the reactive neutral species alone ("chemical etching"), the etching
occurs isotropically due to the isotropic angular distribution and low sticking probability
of the neutral species. Selectivity is relatively high in chemical etching. If the neutral
species is absent and the surface is etched physically, or sputtered by energetic ions, the
surface is etched anisotropically due to the directionality of ions impinging on the surface.
Sputtering occurs by accelerated ions striking the atoms on the surface and physically
dislodging them. The selectivity is relatively low for sputtering. In ion-enhanced etching,
both the chemical and physical components are active, but the profiles are anisotropic as
in physical etching, with a higher etch rate and better selectivity. One of the common
explanations for this cooperative etching mechanism is that the ion bombardment causes
some damage to the surface, which enhances the etching reaction at the damaged areas 3 .
1.4
Line-edge roughness (LER)
During plasma etching, a perfect pattern transfer from photoresist to the
underlying substrate is very difficult. Some of the common artifacts observed in the
etching process are shown sidewall bowing, curving, microtrenching and faceting.
Moreover, the post-etch roughness on a sidewall also contributes to the imperfection of
feature profiles.
A sample atomic force microscopy (AFM) image of a roughened
sidewall is shown in Figure 1.3. After the development of the photoresist (Figure 1.3 a),
the sidewall roughness on the photoresist is isotropic. After the opening of anti-reflective
coating (ARC) layer (Figure 1.3 b), the sidewall is slightly striated along the direction of
ion beam and becomes anisotropic.
The striations are further propagated down the
sidewall after the subsequent etching of the SiO 2 layer. This roughening of the sidewall
LER is caused primarily by the
is often referred to as line-edge roughness (LER).
templating effect, in which striations formed in the mask act as templates that transfer
roughness to lower layers as they are etched 4 . However, as it can be seen in Figure 1.3,
the roughness of the mask continues to increase with etching. Therefore, the sidewall
roughness of the final etched feature may result from the roughening of the sidewall in
each step of the process, including lithography and etching processes.
Resi
ARC
S ..
Resis
ARC
Resisi
ARC
1
SiO 2
SiO 2
4,
(a)
(b)
(c)
Figure 1.3 The AFM images of the feature sidewall at different step in the etch process. (a)
After photoresist development, before plasma etching, (b) after N2 -H2 organic ARC open,
(c) after 90 seconds of oxide etching in fluorocarbon plasmas. 10
It is obviously important to minimize the roughness of the photoresist mask
before etching subsequent layers, it is also crucial to minimize further roughening of the
photoresist in subsequent etching steps.
It was found that the deformation of the
photoresist during etching may be reduced by pre-treating the photoresist with plasma
such as HBr and H 2 in between the lithographic and plasma etching processes 5'6 . This
pretreatment becomes more important as 193 nm photoresists replace 248 nm
photoresists because 193 nm photoresists have poor etch resistance due to their high
content of oxygen atoms (from carbonyl groups) which increases the etching rate of the
photoresist 7,8. Kim et a19 investigated the roughening of the photoresist during dry
etching of silicon nitride with CF 4/CHF 3/0 2/Ar chemistries.
They found that the two
major morphology changes in the surface of the photoresist during etching are striation
and wiggling. Striation results from the variation in erosion rate at the top part of the
photoresist caused by ion bombardment and fluorocarbon polymer deposition. Wiggling
or zigzagged collapse of the photoresist results from the slimming of the photoresist and
deposition of the fluorocarbon polymer during etching, and it is enhanced by thermal heat.
They found that the most critical parameters to minimize the deformation of the
photoresist, and thus the sidewall roughening, are chamber pressure and ion energy.
In addition to patterned samples, the roughening of blank substrates such as Si,
SiO2 and various dielectric materials have also been studied 13 16 .
Yin et a
13 '15
investigated the etching kinetics and surface roughening of polysilicon and dielectric
materials in C12/Ar and fluorocarbon plasmas with various ion energies, ion angles and
plasma source pressures. They found that at low plasma source pressure (low neutral-toion ratio), the angular dependence of etching yields was more sputter-like, and the
etching yield peaks at 600-700 off-normal angle of ion incidence. By contrast, at high
plasma source pressure (high neutral-to-ion ratio) the angular dependence of etching
yields resembled that of ion-enhanced etching, where the etching yield drops with
increasing off-normal angles.
They explained the roughness of the surface etched at
different off-normal angles of incidence and discussed the effects of polymer deposition
on roughening.
Plasma etching involves numerous parameters that are correlated and not
orthogonal to study independently by experimentation. As a result, it prompted the
development of simulators that can profile the etching of surfaces under various physical
and chemical conditions and allows independent study of different processing parameters.
1.5
Feature scale simulation
Feature scale simulator is capable of predicting profile evolution and surface
roughness while reducing the time and cost of process development and optimization. 3
broad categories of algorithms will be discussed with the highlight of cell-based method.
In string method, the surface is represented by a string of points or nodes
connected by straight line segments. 16 ',17 Each point moves with a fixed etching or
deposition rate along the surface normal, which is approximated by the bisector of the
angle defined by a vertex and its two adjacent vertices. The advantages of this method are
that the surface propagation is straightforward, and the representation of the interface can
be very accurate for the case where the surface topology does not change drastically.
However, the profiles may be unstable if or discontinuous when surface loops form or
time integration step is large. Another disadvantage is that it is difficult to include all the
physics and chemistry involved in the etching process, and the composition-dependent
properties of the surface cannot be incorporated.
In addition, the extension of this
method to three dimensions is difficult because in-line segments are changed into
triangles or polygons that are even more difficult to keep track of.
Level set methods are a robust and accurate technique for tracking complicated
motions and sharp gradients at the interface. 35 However, the surface composition
dependence cannot be considered while the surfaces advance, and it is difficult to include
all the physics and chemistry involved in the etching processes. Therefore, its use is
limited to the topographical simulation of surface evolution that involves little or no
compositional dependences.
Cell-based methods are considered the most promising method to conduct
predictive and quantitative profile simulations. In these methods, the computational
domain is divided into a set of cells which contain volume fractions of different materials.
The cell boundaries are used to reconstruct the surface. The advantages of this technique
are that they can easily handle topological changes and can be extended to 3D.
In
addition, the cell-based method can track the composition of the surface, which is an
important factor in the characterization and understanding of surface processes. The cellbased method has widely been used due to its robustness, as exemplified by the work of
Hwang et a119, who developed a two-dimensional cell-based profile simulator to explore
surface evolution during the over-etching of polysilicon-on-insulator structures. Microtrenching, which is commonly observed in the chlorine etching of silicon, was simulated
successfully using the cell-based method in 2-D 20' 2 1. The cell-based method has also
been employed in the simulation of photolithography processes in 3-D22
The disadvantages of the cell-based method include difficulties in determining
geometric properties such as surface normals and curvature, and in practice also require
more memory and CPU resources than the other methods mentioned. The large amounts
of memory and computational power required are not considered as major problems due
to recent advances in computer architecture, but a good method of calculating the
geometric properties still remains as a major challenge. Mahorowala et a120 fitted a line
to neighboring cells on a 2-D surface using a linear least squares method in order to
compute the surface normals, but this method limits the curvature that can be captured.
Zhou et a123 developed a string-cell hybrid method to simulate the Bosch process in
which the surface advancement was kept track of with the string method and the
materials of the surface were kept track of with a mesh of cells. Similarly, Fujinaga et
a124 combined the concepts of the cell-based and string-based algorithms to develop a 3-D
topography simulator. They defined the surface as the region where the normalized
number density of particles is between 0 and 1, and the equi-volume rate point (EVRP),
where the density is 0.5, were defined for each surface cell. The surface was represented
by polygons formed by connecting these EVRPs. They showed the accuracy of the
algorithm by simulating isotropic etching, deposition as well as anisotropic etching
processes. However, although the overall macroscopic structures were captured well by
the simulation, capturing the microscopic roughness of the surface has so far been elusive.
The cell-based technique is used in this thesis to model the surface advancement
due to the ease of incorporating the compositional dependence.
Combining this
technique with the local polynomial fitting of surface features for the calculation of their
geometric properties allowed for the modeling of surface roughness evolution, which was
the primary objective and basis for the work presented in this thesis.
1.6
Kinetics modeling of etching processes
There are mainly two types of kinetics modeling. Reactive site modeling assumes
monolayer adsorption on the surface with simplified reaction mechanism, while
molecular dynamics modeling starts from the fundamental inter-atomic forces, reflecting
real microscopic interactions within the plasma. Some other models have also been
discussed.
1.6.1
Reactive Site Modeling
Reactive site modeling is based on the Langmuir-Hinshelwood theory. A number
of researchers have attempted to model ion-enhanced surface kinetics based on the
steady-state etching yield data. They often adopt a simplified global reaction mechanism
to capture the overall stoichiometry and solve for the analytical solution of surface
composition based on site balance assumption.
Barker et al first established the active site model after the etch rates and surface
coverages were experimentally measured in Cl 2/Ar etching of silicon. 25 The surface was
differentiated from the bulk and all reactions are assumed to take place in the surface
region. Based on the mass balance of ionic and neutral species to and away from the
surface region, equations for surface chlorine coverage and silicon etch rates were
obtained. Then the coefficients were fit to the available data and used to account for the
observed trends in experiments. Levinson et al expanded the reactive mechanism to
include physical sputtering and chemical etching.
29
Chang et al developed a Langmuir
type model to describe Cl/Cl ion-enhanced etching of polysilicon with three
fundamental processes 31: 1) the sorption of atomic chlorine, 2) the sorption of ionic
chlorine, 3) ion-induced etching reaction to produce SiCl 4 . Then the kinetics was
incorporated into the 2-D profile simulation and the predicted and measured profile
evolution showed qualitative agreements.
In addition to the relatively simple chlorine chemistry and silicon substrate,
people have attempted to model more complex systems for SiO 2 etching in fluorocarbon
plasma. Goggolides et a127,32 modeled SiO 2 etching as multiple beam-surface
interactions involving various ion and neutral species such as C+, CF + , CF 2+, F and CFx
radicals. Reactions such as neutral adsorption, ion-enhanced chemical etching, thermal
etching, physical sputtering and ion-enhanced deposition or neutral stitching were
included. Their work is a detailed oxide kinetics model that attempted to simulate oxide
etching in real fluorocarbon gas plasma, although their linear combination of beam
etching is questionable of the reliability and unable to cover the vast amount of ionic and
radical species involved. Han et al described simultaneous etching and deposition in a
different approach, which consisted of a polymer forming deposition and a high-energy
polymer sputtering. 33 The deposition equals the polymerization minus polymer sputtering.
The threshold ion flux was calculated as the flux of high energy ions where polymer
sputtering and deposition was balanced. Excess ion flux above the threshold flux
contributes to substrate etching. The etching regime of the model had the Langmuir
adsorption saturation model in place for excess ion flux above the threshold flux.
Despite of the simplicity and efficiency in the reactive-site modeling, a few
limitations stop it being applied to more complicated processes. First, reaction
mechanism is usually over-simplified in the reactive-site modeling in order to keep the
equation set solvable. For complex system such as oxide etching in fluorocarbon plasma,
that simplified reaction mechanism is not adequate to depict the actual processes given
numerous species and reactions involved. Second, it is assumed the system reaches
steady state and all parameters are fitted at this steady state directly. It may lead to nonphysical solutions such as negative coefficients if the reaction set is not set up carefully.
Third, it has difficulty in deposition for lack of explicit deposition reaction. In summary,
the current active site modeling has constraints dealing with the complex plasma in terms
of reaction mechanism, species numeration as well as etching-deposition transition.
1.6.2
Molecular Dynamics
Molecular dynamics refers to a class of simulations that solve Newton's equations
of motion for a system of interacting particles. The interactions among atoms are
modeled by interatomic potential energy functions. The system's potential energy surface
is given by analyzing all unique atomic interactions in the system. The negative gradient
of the potential energy surface with respect to an atom's position yields the threedimensional force acting on that atom. Given this force and the assumption that atoms
behave as classical particles, Newton's equations of motion are integrated numerically to
compute the atom's trajectory.
In an early paper, Barone and Graves compared physical sputtering and chemical
sputtering of the typical fluorinated silicon layers with molecular dynamics. They
counted species that left the surface during the collision cascade as physically sputtered
products, and the weakly bound species (WBS) as chemically sputtered products. They
found at low fluorine incorporation, only physical sputtering was observed, while at
higher levels of fluorine incorporation weakly bonded species were formed. Tanaka et al
studied C-F and F-F interactions for the deposition of polymeric fluorocarbon films. 34
Hanson and co-workers improved the accuracy of the Feil-Stillinger-Weber Si-Cl
potential by both reparameterizing and incorporating higher-order terms. 3 5 Thereby,
Abrams et al spliced the C-F dimer potential together with the Si-C dimer potential of
Tersoff and their Si-F dimmer potential, producing Si-C-F triplet potential.36 Their
modeling predicted the formation of a fluorocarbosilyl mixing layer during the etching of
underlying Si, consistent with the experimental observation. Humbird et al used the Si-CF interatomic potential from Abrams and Graves, with the updated C-F and Si-F
parameters to simulate the silicon etching in the presence of CF 2/F/Ar+.37 They
demonstrated that the segregated layers of silicon carbide and silicon fluorides were
formed due to Ar+ ion-induced mixing and SiFx was the etching front that fluorinated the
Si substrate, followed by a region of silicon carbide. Abrams and Graves modified the
potentials for Si-F and Si-Cl for the purpose of spontaneous etching simulation and
predicted the etch reaction probability and steady state F coverage in spontaneous etching
of thermal F atoms on silicon. 36 Humbird and Graves later added a correction function to
Abram's Si-F and Si-Cl potential functions to match the energetics with those of density
functional theory (DFT) calculations. 38 They studied the spontaneous thermal etching by
exposing F and Cl atoms to undoped Si surface and the results showed the etching
probability is 0.03 for F atoms and 0.005 for Cl and the major etch products are SiF 4 and
SiC14.
39
Molecular dynamics simulation provides important physical insight to the etching
processes that help us understand the fundamental mechanism. However, it has a number
of limitations. First, it simulates the atomic interactions that require intensive computer
power. Therefore it is usually limited by the corresponding space-time domain. The
current simulations are in nanosecond time scale and the largest system is tens of
thousands of particles, corresponding to system sizes of roughly 5-10 nm. Any processes
that occur beyond that space-time limit are unable to be fully simulated by molecular
dynamic simulation. Second, the potential functions involve a large number of
parameters and the choice of parameters will affect the simulation results to some extent.
And the simplification of the potential function can also vary the results. The third is the
restriction to the so-called "prompt formation" of etch products, which occurs within
about 1 ps (10-12 s) and far shorter than the real chemical reaction, which occurs during a
period of ms (10- 3s).
1.7
Thesis objective
The primary objective of this thesis was to develop a generic kinetics model to
account for the plasma-surface interactions and incorporate it into the 3-D profile
simulator in order to predict profile evolution and surface roughening accurately. To this
end, our kinetics model is capable of modeling various substrates and chemistries and has
been incorporated into the 3-D profile simulator to predict actual profile evolution and
sidewall roughening. There is currently no simulator to the best of our knowledge that
incorporated the detailed kinetics and explored the roughening mechanisms in etching
processes based on the kinetics.
In Chapter 2, the development of the mixing-layer kinetics model will be
described using poly-Si etching in Cl 2 plasma. The assumptions and the reaction set will
be addressed and then the incorporation of the kinetics into the 3-D profile simulator will
be explained. The etching yield simulated in the profile simulator will be compared with
the numerical kinetics modeling results as well as the beam experimental data to
demonstrate the quantitative accuracy of the 3-D simulator.
In Chapter 3, the modeling of angular dependence of etching yield will be
discussed. It is modeled on top of the mixing-layer kinetics model and is able to capture
the transition of angular dependence from sputtering type to ion-enhanced etching type at
different processing conditions. The modeling of angular dependence allow us to model
the actual etching yield in 3-D features, particular the etching yield on the sidewall of
features, where ions come in at high off-normal angles.
In Chapter 4, profile simulation results will be discussed on the blanket silicon
dioxide surface under C4Fg/Ar plasma. Kinetics model will be discussed with further
assumptions addressed on top of the mixing-layer model. The effects of various etching
parameters such as the ion angle of incidence, neutral-to-ion flux ratio and the amount of
etch were studied. The results were compared with surface roughening experimentally
observed at identical conditions in the literature. Surface composition contour was
mapped out to explore the roughening mechanism.
Finally, the etching kinetics and sidewall roughening of ultra-low-k dielectrics
will be discussed. The low-k materials with different methyl group contents will be
compared to explore the effect of film composition on the roughening.
1.8
References
1.
G. E. Moore, Electronics 38, (1965).
2.
W. Coburn and H. F. Winters, Journal of Applied Physics 50, 3189 (1979).
3.
J. D. Plummer, M. Deal, and P. B. Griffin, Silicon VLSI Technology, Prentice Hall,
2000.
4.
D. L. Goldfarb et al., Journal of Vacuum Science and Technology B 22, 647 (2004).
5.
A. Yahata, S. Urano, and T. Inoue, Japanese Journal of Applied Physics 36, 6722
(1997).
6.
T. Yamaguchi, K. Yamazaki, and H. Namatsu, Journal of Vacuum Science and
Technology B 22, 2604 (2004).
7.
S. A. Rasgon, Ph. D. Thesis, Massachusetts Institute of Technology, 2005.
8.
A. P. Mahorowala et a]., Proc. SPIE 5753, 380 (2005).
9.
M. -C. Kim et al., Journal of Vacuum Science and Technology B 24, 2645 (2006).
10.
H. Gokan, S. Esho, and Y. Ohnishi, Journal of the Electrochemical Society 130,
143 (1983).
11.
M. S. Kim et al., Proc. SPIE 4345, 737 (2001).
12.
J. Kim et al., Journal of Vacuum Science and Technology B 21, 790 (2003).
13.
Y. Yin and H. H. Sawin, Journal of Vacuum Science and Technology A 26, 161
(2008).
14.
Y. Yin and H. H. Sawin, Journal of Vacuum Science and Technology A 25, 802
(2007).
15.
Y. Yin, Ph.D. Thesis, Massachusetts Institute of Technology, 2007.
16.
M. Tuda, K. Nishikawa, and K. Ono, Journal of Applied Physics 81, 960 (1997).
17.
M. Zier and W. Hauffe, Nuclear Instruments and Methods in Physics Research B
202, 182 (2003).
18.
Z. -K. Hsiau, E.C. Kan, J. P. McVittie, and R. W. Dutton, IEEE Transactions on
Electron Devices 44, 1375 (1997).
19.
G. S. Hwang and K. P. Giapis, Journal of Vacuum Science and Technology B 15,
70 (1997).
20.
A. P. Mahorowala and H. H. Sawin, Journal of Vacuum Science and Technology B
20, 1064 (2002).
21.
R. J. Hoekstra, M. J. Kushner, V. Sukharev, and P. Schoenborn, Journal of Vacuum
Science and Technology B 16, 2102 (1998).
22.
Y. Hirai et al., IEEE Transactions on Computer-Aided Design 10, 802 (1991).
23.
R. Zhou, H. Zhang, Y. Hao, and Y. Wang, Journal of Micromechanics and
Microengineering 14, 851 (2004).
24.
M. Fujinaga and N. Kotani, IEEE Transactions on Electron Devices, 44, 226 (1997).
25.
Barker, R.A., T.M. Mayer, and W.C. Pearson, J. Vac. Sci. Technol. B, 1(1):37-42,
(1983).
26.
Cooperberg, D.J., V. Vahedi, and R.A. Gottscho, J. Vac. Sci. Technol. A,
20(5):1536-1556, (2002).
27.
Gogolides, E., et al., J. Appl. Phys., 88(10):5570-5584, (2000).
28.
Gray, D.C., I. Tepermeister, and H.H. Sawin, J. Vac. Sci. Technol. B, 11(4):12431257, (1993).
29.
Levinson, J.A., et al., J. Vac. Sci. Technol. A, 15(4):1902-1912, (1997).
30.
Steinbruchel, C., Appl. Phys. Lett., 55(19):1960-1962, (1989).
31.
Chang, J.P., A.P. Mahorowala, and H.H. Sawin. in International workshop on basic
aspects of nonequilibrium plasmas interacting with surfaces (BANPIS"97). 1998:
AVS.
32.
Gogolides, E., et al., Microelectron. Eng., 42:391-394, (1998).
33.
Han, J.S., J.P. McVittie, and J. Zheng. in The 22nd Annual Conference on the
Physics and Chemistry of Semiconductor Interfaces. 1995: AVS.
34.
Stueber, G.J., et al., J Phys Chem A, 107(39):7775-7782, (2003).
35.
Hanson, D.E., J.D. Kress, and A.F. Voter. in 45th National Symposium of the
American Vacuum Society. 1999. Baltimore, Maryland (USA): AVS.
36.
Abrams, C.F. and D.B. Graves, J. Appl. Phys., 86(11):5938-5948, (1999).
37.
Humbird, D. and D.B. Graves, J. Chem. Phys., 120(5):2405-2412, (2004).
38.
Humbird, D. and D.B. Graves, J. Appl. Phys., 96(5):2466-2471, (2004).
39.
Humbird, D. and D.B. Graves, J. Appl. Phys., 96(1):791-798, (2004).
2. Mixing-layer kinetics model and the cellular realization in the 3-D
profile simulator
2.1
Introduction
Predictive profile simulation has been long sought as a means to understand the
formation of LER while reducing the time and cost associated with process development
and equipment design.1- 4 The development of a surface kinetic model is necessary for the
predictive modeling of these processes and there are two major categories of kinetics
models for plasma-surface interactions. Reactive site model assumes monolayer
adsorption on the surface with simplified reaction mechanism, while molecular dynamics
modeling starts from fundamental inter-atomic forces, reflecting real microscopic
interactions within the plasma.
A mixing-layer kinetics model will be discussed in this chapter. Mixing-layer
modeling was first proposed by Ohseung Kwon and expanded later by Bo Bai in this
group. The major assumption is the existence of the mixing layer between the plasma
phase and the substrate. It is a subset of reactive-site modeling as the surface composition
within the layer is equivalent to the surface coverage when the film depth is constant.
However, mixing-layer kinetics model has a few advantages over the classical reactivesite model. First, the well mixing of atoms and the subsequent nearest-bonding
probability defines a generic and flexible way of expressing chemical complex
concentrations. Thereby, any chemical complexes can be taken as products in our model
without pre-existing experimental measurement needed. In contrast, the reactive-site
model avoids using chemical complex concentration in the reaction rate expression as
much as possible. People usually replaced chemical complex concentration with surface
chlorination/fluorination coverage, which is a crude simplification by ignoring variations
in reaction mechanism for different products. For this reason, the reactive-site model is
prohibited from expanding to include differentiated products because multiple reactions
would have almost identical rate expressions. Second, , in many situations of interest the
differential equations represent a so-called "stiff' set, especially for concrete physical
processes with a large number of non-linear equations. For this reason, time-variant
differential equations are integrated numerically in the mixing-layer model rather than
setting derivatives at zero and directly solving steady-state solutions as in the reactive-site
model. The appropriate step size of integration guarantees the variables being kept track
of and avoids the discontinuity/overshooting/undershooting problem encountered with
large integrating step. In contrast, the reactive-site model ignores the evolution from the
initial state to the steady-state, which makes the numerical solution unstable with no
solution, multiple and yet physically meaningless solutions. Third, by conserving a mass
balance in the mixing layer, etching/deposition can be simulated using the same set of
code, depending on the amount of the incoming relative to the outgoing species. In this
chapter, the mixing-layer kinetics model that accounts for the energy and flux will be
discussed using polysilicon etching in chlorine plasma and the incorporation of this
kinetics model into the 3-D Monte Carlo profile simulator will then be explained in detail.
2.2
Fundamental assumptions of mixing-layer kinetics model
The first assumption is the existence of a well-mixed layer between the plasma
and the substrate, with all the atoms therein randomly bonded to each other. It is formed
by continuous bombardment of ions and serves as the etching frontier. The assumption is
validated by both experimental measurement and molecular dynamics modeling. Angular
resolved XPS measurements showed that silicon and chlorine atoms were found well
mixed in the top 1.2nm layer.5 Molecular Dynamics modeling indicated a mixing layer of
Si, C, and F atoms existed in CF 2/Ar + etching of silicon. 6 The assumption makes the layer
thickness of any length as long as the ion mixing is sufficient to make the layer well
mixed.
The second assumption is that dangling bond in the mixing layer can be treated as
a species called vacancy that possesses volume but mass. Vacancy enters the reaction rate
calculation as well as the mass balance equations and the main function is to calculate the
dangling bond fraction and then chemi-sorption rate. Physically, Vacancy is generated
through ion bombardment and removed by densification7- 9, chemi-sorption and dangling
bond annihilation.
The third assumption is that the nearest bonding neighbor probability can be used
to calculate surface moiety concentrations. Under the assumption of random mixing, all
atoms are bonded to each other equally without discrimination with the amount of
neighbors no more than their valences. For example, silicon has four bonding neighbors
in maximum and oxygen has two. The probability for any two species sitting in neighbor
is the nearest neighbor bond pair probability, J_j and the general formula is shown in
the following equation
ij =
bx, x b x.
N
(1+ i) k=I(1bk xk
in which 4,j is the Kronecker delta-function, x, is the fraction of the
ith
species in the
mixed layer as normalized by the total number of atoms in the mixed layer, b is the
maximum number of bonding neighbors for the ith species, e.g. b, are 4, 2, 1 and 1 for
silicon, oxygen, chlorine and vacancies, respectively and N is the number of species
present in the layer.
The fourth assumption is that the number concentration of any surface moiety in
the mixing layer can be computed by the corresponding bonding neighbor probability.
For example, concentration of SiC12 in the mixed layer equals (Ji-c)2 and the ion
induced reaction rate to form SiCl 2 product is proportional to SiC12 concentration in the
mixed layer, leaving the proportional parameter experimentally fitted. Similarly, the ion
induced reaction rate to form C12 product is proportional to Jc1 c and the ion induced
reaction rate to form COF 2 during silicon oxide etching in fluorocarbon plasmas is
proportional to Jc-o (JC-F )2
The fifth assumption is that the model is able to account for the overall process
adequately with a subset of the complete reaction mechanisms. This limited set is
desirable as it limits the number of parameters to be fitted by experimental data, and the
selection of lumped reactions should be based on both the experimental evidence of the
primary products, the independence that the experimental data can be fitted, as well as the
completeness to fully reflect the removal mechanism.
The sixth assumption is that the total numbers of atoms and vacancies are
conserved in the translating mixed layer model. Deposition or etching rate is determined
by the difference between the total atoms to and from the surface layer. The underlying
substrate acts as the source or drain of atoms to or from the mixed layer, depending on
whether etching or deposition dominates, respectively. As shown in Figure 2.1, if the
atomic flux to the layer is less or more than the flux from the mixed layer, the translation
of the layer into or away from the substrate provides the necessary flux to maintain the
constant total number of atoms.
The above assumptions are all indispensible in that it covers all fundamentals of
plasma-surface interactions and solves the physic-chemical processes with elegant
numerical calculations. The mixing layer assumption assured the ion-bombardmentinduced mixing and the layer is represented by surface elemental composition (coverage);
the nearest-bonding probability correlates the elemental composition with the surface
moiety concentrations and allows derivation of reaction rates as functions of
composition; mass conservation assumption defines the movement of the layer, which
covers both etching and deposition; and presence of vacancy allows the dangling bondrelated surface processes. This set of assumptions assured the model is self-consistent and
comprehensive to be able to reflect the fundamental etching behavior at various
conditions.
Plasmas
Neutral
Neutral
Plasmas
Neutral
Neutral
Reaction
Radicals
& Ions
Products
addition
<
Reaction
Radicals
& Ions
R r
addition
emoval
Products
> Rremoval
Rmovement
Rmovement = Rremoval
Raddition
R movement = R
Rremoval -R
Substrate
addition
Substrate
Net Deposition
Net Etching
Figure 2.1. Mixing layer on top of substrate. Net etching and deposition can take place
with mass conserved within the mixing layer.
2.3
Surface Interactions and Reaction Rate Calculations
In the effort to model poly-Si etching in Cl 2 plasma, the following mechanisms
are included such as ion incorporation, neutral absorption, physical sputtering, ionenhanced etching, vacancy generation, densification reaction, dangling bond annihilation,
spontaneous reaction and surface recombination. All reaction rates in the model are
normalized to reaction yield in the unit of atom removed per incoming ion. The etching
or deposition yield, meaning number of atoms/molecules removed or added when one ion
strikes the surface, is calculated by
rx P film
Ftotal
(2)
in which R is the ion-induced etching or deposition yield, Ftota, is the total ion flux
density, and Plm is the number density of atoms or molecules in the substrate or
deposited film and r is the etching or deposition rate.
2.3.1
Ion Incorporation
Ion is assumed to be 'implanted' when it strikes the surface at normal incidence
and the incorporation probability is unity.10 Using Cl + ion incorporation as an example,
the incorporation yield is calculated by
RA _C
= Scl i X Gcl i f ,
in which RA Cli is the incorporation yield of chlorine ions, Gcl,
(3)
is the normalized
chlorine ion flux to the total ion flux, f is threshold adjustment factor and set to unity
above the threshold energy of physical sputtering and zero otherwise, and Scl , is the
incorporation probability of chlorine ions and set to unity.
The threshold adjustment factor f is set to one when the ion energy is greater than the
threshold energy of the physical sputtering by the corresponding ions, and set to zero
when the ion energy is below. This avoids the unphysical deposition of ions at very low
ion energy. Without this adjustment, a 1 eV chlorine ion beam striking a silicon surface
would created a surface completely composed of chlorine atoms which is inconsistent
with the experimental observation that the deposition rate decreases to zero when ion
bombardment energy approaches zero.
2.3.2
Neutral Absorption
The chemi-sorption rate of neutrals within the surface layer is proportional to the
incoming neutral flux and available sites within the surface layer. It is calculated
according to the following equation:
(4)
RA Cl on s = Sci on Si xJsj-v x Gc ,
in which RA
_Clon Si
is the absorption yield; Scion s is the sticking coefficient for
chlorine atoms on active sites associated with silicon; Jsi-v is the active site
concentration hosted on silicon atoms and Gcj is the ratio between the incoming chlorine
atom flux to the total ion flux.
2.3.3
Physical Sputtering
The physical sputtering yield shown in equation (6) with silicon sputtered by
argon ions as an example,
Rs
-'__A
Si _by_Ar X XS
_ yC~
(5)
GAr ii,
where Rs i byAr represents the sputtering yield of Si by impinging Ar ions, Ysyi
Ar
is
the sputtering yield coefficient, xs, is the silicon atom concentration normalized by the
number of total atoms in the mixed layer, and GAr is the fraction of Ar ions in the total
incoming ion flux.
The sputtering yield is expressed as in
yt byp
Ax(I
-
th )x f
(0),
in which
_
_by
is the sputtering yield coefficient of target t by projectile ion p,
and E is the ion bombardment energy; Et, is the threshold energy; A is the linear
proportional coefficient; and f(0) is a function of off-normal angle 0 to represent the
angular dependence.
The linear dependence of the physical sputtering yield coefficient on square root of
energy follows the results proposed by Steinbruchel et al1 and an empirical formula for
Eh was recently developed by Wittmaack et al
E
=
25.2(M, / M)
2
as
+0.928(M, /
,
(7)
where M,,Z,, M,, Ztare the mass, atomic number of the projectile ions and the target
atoms.
The angular dependence f(0) is modeled using a polynomial fitting of the
experimentally measured angular dependence of physical sputtering yields.'3 As
calculated by equation
f (0) = -81.70(cos 0)5 + 224.03 (cos 0)4 -208.19(cos 0)3
+67.569(cos9) 2 -0.711(cos9)
2
-0.0242
(8)
the maximum sputtering yield occurs at about 650 off-normal angle, with value about
twice as much as the yield at normal incidence angle. It is assumed that the angular
dependence function doesn't depend on the ion bombardment energy and ion species
and therefore equation (8) is generally used in all sputtering yield calculations.
The proportional coefficient A in equation (9) is a function of both ion and target
atom species. A general equation for its calculation was developed and the parameters
were determined by empirical fitting. Combining the equations proposed by Sigmund-
Thompson 14 , the empirical formula proposed by Bohdansky et a1 5' 16 and Matsunami et
a117, the following equation was developed:
A = 0.0054 (ZpZ)
1
MPI
t
VMp + Mt
j -0.0198,
(9)
in which Mp, Z,, MI, Z,are the mass, atomic number of the projectile ions and the target
atoms. The coefficients in equation (9) were decided by fitting the equation to the
11 ,
experimental sputtering yield of inert ions. 12,
18
The sputtering yields generated from the above equations were validated for
accuracy and the well-accepted binary collision calculation software, "The stopping and
range of ions in matter"(SRIM) was used as a reference. SRIM is used to simulate ion
transport in matter and has applications in ion stopping and sputtering. The Si sputtering
yield under Cl + bombardment at 1 keV is calculated to be 0.93 Si/ion using the above
equations, while the sputtering yield from SRIM is 0.97 Si/ion. The good match between
the analytically calculated yield and that obtained from SRIM verified the accuracy of the
sputtering equations to be used in this paper. The expression of A, Eth and f(0) are
summarized in Table 2.1.
Table 2.1. Physical sputtering coefficients used in the model. MP, ZP, M, Zt are the mass,
atomic number of the projectile ions and the target atoms, 0 is the incidence angle
Para.
A
Eth
f()
Expression
M
A=0.0054(ZZ) /2
Eth
25.2(Mt/ Mp)
-
.6 +0.928(M
Ref
-0.0198
/M )
f (0) = -81.70(cos 0) 5 + 224.03(cos 0) 4 - 208.19(cos 0)3
+67.569(cos9) 2 -0.711(cosO) -0.0242
11,12
13
14
14669
2.3.4
Vacancy generation
Vacancies are generated by ions striking the surface and breaking the bonds
between atoms in the mixed layer and the yield is expressed as,
RA _V_by_Ar =
in which RA _V
byAr
Vby_Ar X GAri ,
(10)
is the vacancy generation yield due to Ar+ bombardment, GAr
means the fraction of argon ions in the total ion flux and , _by
Ar
i
is the linear coefficient.
The proportionality constant has a similar angular and energy dependence as that of
physical sputtering.
2.3.5
Ion-induced etching
Ion-induced etching yield is assumed to be proportional to the corresponding
surface moiety concentration as calculated by nearest bond neighbor probability. For
example, the yield RE_SiCl, for the ion induced reaction to produce SiC12, is calculated as
RE
SiC1,2 :
PSiC, [SiC2] =
SiC,2
X(J
i-C) 2 ,
(11)
in which fscijc is the coefficient for SiCl 2 formation, [SIC12 ] is SiCl 2
concentration and Jsi-cl is the nearest neighbor probability between silicon and chlorine
atoms. The coefficients such as
fsicC2
have square-root dependence on ion energy and a
cosine-like angular dependence.
2.3.6
Densification
Densification reaction is the removal of vacancy species by ion bombardment.
Densification is related to the binary collision cascade that also produces the physical
sputtering and the yield is expressed here:
RsV _by Ar =Yv byAr XV x
where Y
GAri ,
(12)
by_Ar is the densification yield coefficient, x, is the ratio between the
number of vacancies and the number of total atoms in the mixed layer.
2.3.7
Dangling bond annihilation
Dangling bond annihilation removes two vacancy species from the mixing layer
and the yield is calculated by:
R,
=/asivx(JsV
)2
(13)
where ps, v is the proportionality constant for dangling bond on silicon.
2.3.8
Spontaneous Reactions
Spontaneous reactions are the chemical etching reactions that form products such
as SiC14 and SiF 4 . The reaction rate were measured by Flamm et al for silicon and silicon
oxide etching in fluorine atoms 15 and Walker et al for silicon etching in chlorine and
bromine atoms and chlorine molecules. 16 - 18 The yield for SiF 4 is calculated by
Rtema =mxGF XXS i,
in which
GF
(14)
is the ratio of fluorine atom flux to the total ion flux; m is the
proportionality constant and is set to zero for SiC14 reaction.
2.3.9
Surface Recombination
Chlorine
atom recombination is known to be significant, according to
Butterbaugh et aP 9 20 . The yield of the recombination reaction is calculated as
R =aCFx
4 JF
v
xGCF3 ,
where aC is the surface recombination coefficient to form CF 4 ; Gc is the
normalized CF 3 radical flux by the total ion flux; andJFv is the nearest neighbor
(15)
probability between atomic fluorine and dangling bond, representing those fluorine atoms
that are not chemically bonded.
2.4
Governing equations and numerical realization
Given individual reaction yields, the overall etching/deposition yield is calculated
as
bE x RE -L bA x RA,
b,
Roverall =
(16)
Fi lm or Sub
where RAi is the addition reaction yield, bAi is the addition reaction atoms, RE is the
etching reaction rate, be) is the etching reaction atoms, bilm
or Sub
in the molecule of the etched substrate or deposited film, Rov
is the number of atoms
represents the etching
yield when positive and deposition yield when negative.
Time differential equations were integrated using the software JACOBIAN®,
which was robust at solving time differential equations accurately in mathematical and
physical sense. Time variant differential equation of species j was expressed as,
dx
dt
L
N
=
=1
.x rA -
w xr
,
(17)
i=l
which was integrated to the steady state solution starting from the substrate
composition. For each species, the initial fraction as well as the associated addition or
removal mechanism is different, resulting in the evolution respectively.
2.5
Incorporation of the mixing-layer kinetics in 3-D Monte Carlo Profile Simulator
After setting up the kinetics model and fitting all the rate coefficients, poly-Si
etching was modeled at different operating conditions and compared to the measured
etching yields in Cl/C1+ and Cl/Ar+ beams. The modeling results showed quantitative
agreement with the experiments, demonstrating the mixing-layer kinetics model is able to
account for detailed plasma-surface interactions. Those comparisons will be shown after
the incorporation of the numeric kinetics model into the profile simulator is discussed in
this section. The methodology of 3-D profile simulation is shown in Figure 2.2. The
calculation domain is discretized into a cellular array with a cell length of 25
A, a
dimension comparable to the surface halogenations layer depth. There are 30 particles of
various elements in each cell to emulate the substrate etching in real plasma. For example,
it contains 30 silicon particles initially as poly-silicon substrate, while 10 silicon particles
and 20 oxygen particles as silicon dioxide substrate. Ion and neutral reactant species are
introduced at a source plane above the top of the feature and the initial lateral position of
the particle was selected randomly along the source plane. Each particle's trajectory is
determined by randomly sampling from their respective distribution functions: Cosine
distribution for neutrals and Gaussian angular distributions for the ions. 21 Acceptancerejection criteria are used to sample from either distribution 22 and random number is
generated from Numerical Recipes between -1 and 1 for this work. The surface was fitted
using polynomial method, from which the normal direction on the surface was
determined and scattering as well as reaction probability was calculated.
top ol
dome
gas
source
Smask
Spolysilicon
-
f- 2.5 nm
Figure 2.2. 3-D simulation domain. Simulation domain was discretized into cellular
cubes with dimension of 2.5 nm. Particles were introduced from the source plane one at
a time, and as they interact with the surface, the surface composition information was
updated to track the etching and deposition of materials during the process.
Although the cellular model is relatively easy to add in kinetics compared to other
profile simulator peers, it still faces a few challenges during this incorporation. In the
numeric kinetics model, the moving boundary layer is posed conceptually as the etching
frontier, in which elemental composition is denoted and evolved in numbers. The nearest
bonding probabilities and the subsequent surface moiety concentrations are all calculated
based on these numeric fractions. While in 3-D profile simulator, the surface as well as
the mixing layer is embodied by layers of cubic cells comprised of a limited number of
particles. In addition, the 3-D profile simulator is composed of stochastic events and the
feature results from millions of particles hitting the surface. The stochastic event is
significantly different from the continuous kinetic model in our algorithm. In order to
solve the problems mentioned above, a few approximations and modifications were
conducted in the profile simulator:
1. The very top two layers of cells are used to resemble the moving boundary layer
and serves as the etching frontier. Once a cell is struck by an incident ion, it interacts with
the neighboring 26 cells in six directions (front, back, up, bottom, left, right) by
exchanging the contained particles until a compositional equilibrium for each element
among all the 27 cells has been reached. The detailed explanation of the interaction
between top cells and the surrounding cell will be explained in later section. By this
means, the incorporated reactive neutrals are transported down to the substrate while the
silicon atoms are dug up for etching, which prevents the enrichment of any species in a
local region.
2. The surface composition in the kinetics model is replaced by the specific cell
composition, which is calculated with the number of one elemental particles divided by
the total number of particles within each cell. Due to a limited number of total particles,
stochastic variations would be expected but constrained to a limited amount by
resembling the mixing layer and averaging among neighboring cells.
3. The reactions are sorted out according to the initiative bodies and whether or not
ion energy is required. For example, the physical sputtering is initiated by ion striking
and energy is mandatory for species to overcome surface binding energy and get
desorbed, therefore, it is considered as an ion-initiated reaction. On the other hand, the
neutral-initiated events do not require any energy input. 0 summarized all the reactions
and their categories. It also adapts to the stochastic feature of the Monte Carlo model. For
example, the Ar + ion initiated reactions get called only when Ar + hits and reacts with th e
cell and remain dormant while other types of particles hit the same cell.
An efficient 3-D profile simulator is explained in detail to show how the mixing
layer was resembled and kinetics was translated into the profile simulator. The basic
framework was introduced somewhere thus not elaborated here. Take ion incorporation
and the subsequent reactions as an example. A sequence of events occurs sequentially
during simulation, as displayed in Figure 2.3.
Ion Incor oration
Ion Mixing
__ _ ___ _ ___ _ __
.4
Product Removal
Figure 2.3. Cellular realization of the kinetics modeling in 3-D Monte Carlo profile
simulator.
1. When an ion strikes the cell, first it is evaluated whether to incorporate or scatter
according to the scattering probability as a function of ion species, incident angle and
energy using Monte Carlo methods. If it incorporates, the cell accepts the particles and
the charges. The number of particles in the cell is updated respectively. Otherwise the ion
scatters away from the surface until it reaches another cell or moves out of the simulation
domain.
2. If the ion incorporation occurs, ion-induced mixing takes place within a local
region to resemble the real physi-chemical process. Particles in the cells of concern are
poured together to form a huge cell or so-called mixing zone, in which the total amount
of particle and the amount of each element are counted respectively. Thereby the average
elemental composition for the huge cell can be calculated using the respective elemental
amount divided by the total number of particles. Theoretically there are 26 neighboring
cells around (top, bottom, left, right, front, back) in three dimensions, but gas cells are not
involved since there is no solid content to impact the average composition.
3. After the ion-induced mixing, particles are distributed back to the cells based on
the average composition. Total particles in each cell equal to the original amount while
each element is allocated as a multiplication of the averaged elemental fraction and the
total amount in the particular cell. For example, suppose there are 20 particles in a cell
with 10 silicon atoms and 10 Cl atoms originally, after averaging among neighboring
cells, the overall composition for silicon is 0.75 and for chlorine is 0.25. Thus the redistribution should be 15 silicon atoms and 5 chlorine atoms, keeping the total amount to
be 20. As a result, number balance in the cell is maintained while elements are exchanged
among cells and from a chemical perspective, this can be view as atom transport
throughout the layer, such as incorporated Cl down to the substrate and silicon up for
etching. Composition averaging improves the statistical fluctuation thus can represent the
feature with a small length scale for similar reasons.
4. After ion mixing, all the ion-initiated reactions are invoked and their reaction
rates are calculated using the averaged compositions mentioned above. Certain amounts
of elemental particles in the form of different product species are removed from the
struck cell. In the case of not enough particles for removal, it was determined according
to the reaction probability using Monte Carlo methods. And the overall etch yield is
determined by the amount of original particles removed such as silicon particles removed
for poly-Si etching. In addition, different from the kinetics modeling, the ions have
warped incidence angles after scattering for times and surface getting roughened as
etching advances on a realistic feature, which may cause randomized deviation from the
kinetic etching yield.
5. If the cell is empty, it is etched from the domain; or if it is filled twice as much as
it could hold, a new cell is to be deposited with the location determined elsewhere in the
algorithm.
Neutral adsorption is unable to invoke ion mixing and is only a function of
surface active sites. The algorithm was first tested in simple 1-D case, in which a stack of
single cell (1* 1*99) was etched and then expanded to 3-D, in which a flat surface
composed of 39*39*99 cells was impacted. 3-D averaging algorithm was employed in
which 26 neighboring cells including the struck cell are all involved in exchanging
particles. It has appealing advantages in terms of computation speed. For a typical case,
Si etching in Cl/Ar at 60 eV with a neutral to ion flux ratio of 100, it takes 30 minutes to
reach the steady state so it is much faster than the molecular dynamics simulation due to
the simplicity. For similar reasons it can deal with the SiO 2 system that is overwhelming
for molecular dynamics to model without necessary potential functions.
2.6
Results and discussion
In this section the 3-D MC profile simulation results with the kinetics will be
discussed using poly-Si etching in Cl/C1+, Cl/Ar +, C12/C12+ and Cl 2 plasmas at different
energy levels and neutral-to-ion flux ratios with normal incidence. The simulated etching
yields and compositions are compared to the experimentally measured ones. The basis
reaction set, the reaction rate expression as well as the fitted parameters were summarized
in Table 2.2.
Table 2.2. List of reactions with the associated parameters in the models for silicon
etching in chlorine related system.
Reactions
Parameters
Reaction Yield Calculation
Coef.
Cl+(g) - Cl(s)(a)
Ra c 2 i = S C12J x GC12 _ x f
Assu
1(e)
Fitted
Cl(s)
Racl on Si = SC onSi XJj- x Gc
C12(g) -
2Cl(s)
Ra C12 on S = SC12 on Si XJSiV2
Si(s) - Si(g) (by Cl
Cl(s) - Cl(g) (by Ar )
Cl(s) -
Rs Siby Ar = YXs
Siby Ar XSix G
ArI
Cl(g) (by Cl +)
Cl(s) - Cl(g) (by C12 +)
Rs _Si
by_C = YSi by C
Rs_ Si-by_ C2
Si_
by C2 X
Rs C by Ar
x
GCJ2_
YC by_ Ar X XSi XGAri
s C by_ C=
RsClby_ C2
Gc_i
xs X
C by C1
1
XS X
Cl by C12 XXSI
GI
C
C
2
V (s) (by Ar +)
RV
by_Ar i
=
by_Ar j
XGAr
0.75
0.204
x G, Fitted
)
Si(s) - Si(g) (by C12 )
-
1(d)(e)
xG _ xf
Cl(g) -
Si(s) - Si(g) (by Are)
11
Assu
= Sc;
Ra
Cl 2 (g) -- 2Cl(s)
th c)
i
i
Calc.
0.035
33.63
Calc.
0.035
31.49
Calc.
0.042
46.94
Calc.
0.045
29.44
Calc.
0.045
27.62
Calc.
0.055
40.86
Fitted
1.8
27
V
Fitted
2.1
20.2
Fitted
0.598
32.6
Fitted
10.0
27.0
Fitted
8.459
0.0
Fitted
0.001
30
Fitted
8.30
26.4
Fitted
7.41
25.1
Fitted
3.60
33.5
Fitted
5.3
26.4
Cl 2
Fitted
5.06
0.0
Ci2
Fitted
6.26
0
Si- S
Fitted
4 V (s) (by Cl1)
Rvby
Rv_by_CI
-4 V (s) (by C12+ )
RVby_C2_i
-
NULL (by Ar +)
Aycl~i
G 1V _by Ci xXGcl2i
Vby Cl2_
Rd-byCli =
V - NULL (by C12+)
XGAri
2
x GCl
X xV
2
GC12
4SiC12
c-+
SiC12
Si(s) + 2CI(s)
c"+
SiC12
Cl(s)+ Cl(s)
At1.
C
rE_ SiC 2
SiCI2 X
=
C,+
Cl(s) + Cl(s) -2+
A
(Si-C1)
2
=E Cl,
Si- V + Si - V
C2_i
d_ by_ Cli X X
Rdby Cl_i = /dbyC2_i
Si(s) + 2 Cl(s)
Cl(s) + Cl(s)
×
XX
Rd_ by Ari -d_by_Ar
V - NULL (by Cl1)
Si(s) + 2Cl(s) A--
=
=
Si
Rs,-v = s,-v
X Jc'-C
(Js,-v )2
Fitted
Si- V+ Si- V
1+
-Si-
Si- V+ Si- V
C-2+4Si- Si
Fitted
CI- V+ C1- V
A"+ , C C1-
Fitted
C1-V+CI-V
C- CI--C1
CI-V+C1-V
C12+4C1-C
RcI-V =
CV X (JI-v
)2
Fitted
Fitted
(g) and (s) mean the gas and solid phase, respectively.
Coefficients are assumed, calculated or experimentally fitted. Physical sputtering were calculated from
equations
Threshold energy Eth is in unit of eV
If single value is specified in coefficient column, no ion bombardment energy dependence is assumed
for that coefficient.
e)
f is the threshold adjustment factor which is zero for ion energies below the sputtering threshold energy
and one for greater energies.
2.6.1
Poly-Si etching in Cl/Ar +
Figure 2.4 shows a comparison of the experimental data, the corresponding kinetics
modeling results and 3-D MC profile simulation with silicon etching in Cl/Ar + discharge
at different conditions. Kinetic results closely match the experimental data, suggesting
the lumped reaction set as well as the fitted parameters are able to carry on the chemistry.
The 3-D MC profile simulator results fall right on top of the kinetic simulations as well as
the experimental data, validating the accuracy of the translation from kinetics modeling
to 3-D feature scale simulation. Figure 2.4 (b) shows the steady-state surface coverage.
Excellent agreement between the kinetics and the 3-D profile simulating suggests the
kinetics has been translated into the cellular model without losing the accuracy.
Both the etch yield curves and the composition curves indicated two distinct
regions as a function of neutral-to-ion flux ratio at a certain energy level, namely, the etch
yield first going through a linear, or unsaturated regime, and then a constant or saturated
regime. From Figure 2.4 (a) it can be seen that at a neutral-to-ion flux ratio of below 150,
silicon species is dominant on the surface accompanied by less than 20% of vacancy sites.
As more chlorine neutral radicals are introduced for adsorption, vacancies are lost and
chlorine fraction increases gradually, until silicon fraction on the surface is declined to a
steady state value, roughly 2/3 on the surface. During this process the etch yields climb
up to a constant level. The positive correlation between etching yield and chlorine
concentration shows that the etching yield is limited by the amount of chlorine in the
mixing layer. The negative correlation between the chlorine concentration and the
vacancy concentration shows that the chlorine atom concentration is limited by
absorption, or absorption sites in the mixing layer. Therefore, the model shows that in the
unsaturated region, the reaction yield is limited by the absorption of the reactive radicals.
While in the saturated limit, the etching yield is not limited by the absorption, but by the
ion induced reactions.
A
Experimental Data
- - - - Kinetics
4
-
3-D Profile Simulator
A
1M d\
0O
03
S 2
60 eV
1
35 eV
o
0
100
200
300
400
500
600
700
800
Neutral-to-Ion Flux Ratio
(a) Etch yield vs. neutral-to-ion flux ratio at E=35 eV, 60 eV, 100 eV.
1.00
0.80
0
"V 0.60
o
E
8
8 0.40
0.20
.00
0
100
200
300
400
500
600
700
Neutral-to-Ion Flux Ratio
(b) Surface coverage vs. neutral-to-ion flux ratio at E=60eV.
Figure 2.4. Poly-Si etching in in Cl/Ar and comparison of experiments (dots),
translating-layer kinetics modeling (dash lines) and 3-D MC profile simulation (solid
lines).
2.6.2
Polysilicon etching in Cl/C1+
Figure 2.5 (a) shows the experimental data, the corresponding kinetics modeling
results and 3-D MC profile simulation results for silicon etching in Cl/Cl + at neutral-toion flux ratios and different energy levels. It can be seen that the kinetics are very close to
the experimental data, indicating the reaction set together with the fitted coefficients can
accurately capture the surface processes. The 3-D profile simulation results agree with
the kinetics reasonably well, indicating the capability of the translations to the 3-D case.
Figure 2.5 (b) shows the kinetics and 3-D profile simulation results of the steady-state
substrate composition. The trends are generally consistent with only minor differences.
The slight deviation from the kinetics results is due to the incident angle perceived by a
3-D feature plus the angular dependence introduced. Although the feature is initialized as
a straight flat surface, it generates roughness as etching and deposition occur till the
surface becomes rugged, rendering a non-flat polynomial surface fit within the local
region. As a result, the incident angle is misconceived instead of the normal incidence,
leading to slight deviations. For example, chlorine neutral addition is through adsorption
without any angular dependence while the chlorine removal is through mainly ioninduced etching with its typical angular dependence described previously. As incidence
angle is up to 450, the ion-induced reaction is scaled down by angular dependence,
resulting in excessive chlorine adsorption and higher chlorination on the surface. This
speculation can be justified by higher chlorine coverage in the profile simulation
compared to that of kinetics modeling. Similar argument about the vacancy site was
proposed that the balance between the generation and the removal such as densification
as well as annihilation were broken since annihilation is not affected by incident angle
while all other reactions are scaled by the physical sputtering type of angular dependence.
As shown in Figure 2.5 (a), the experimental data also appear to scale linearly
with the square root of ion energy at saturation regime. All curves have the apparent
threshold energy on the order of 10-20 eV, indicating a similar binding energy for the
most readily desorbed silicon chloride products. This is in general agreement with the
experimental work of Balooch et a123 and Chang et a124 and the simulation work of
Barone et a12 5 and Hanson et al. 26
4
0
(n
°)
.
C-
2
1
0
0
100
200
300
400
500
600
Neutral-to-Ion Flux Ratio
(a) Etch yield vs. neutral-to-ion flux ratio at E=35 eV, 55 eV, 75 eV
0.80
0.60
0.40
0.20
0.00
0
100
200
300
400
500
600
Neutral-to-Ion Flux Ratio
(b) Surface coverage vs. neutral-to-ion flux ratio at E=55eV
Figure 2.5. Poly-Si etching in in C1/C1+ and comparison of experiments (dots),
translating-layer kinetics modeling (dash lines) and 3-D MC profile simulation (solid
lines).
3.0
Experimental data of C12/CI2+
+
AndAI
Kineticr
-3-D
M
- -
2.5
2.0
1.5
1.0
0.5
0.0
----
4'
C------r
Ion Energy(eV 0 5 )
(a) Etch yield vs. ion energy.
1.00
-
Kinetics Modeling -
-
3-D Profile Simulator
0.80
0.60
~~Si
0.40
--
-
CI
0.20
V
0.00
0
50
100
150
200
250
300
350
Ion Energy (eV)
(b) Surface coverage vs. ion energy
Figure 2.6. Poly-Si etching in in C12/C2 + and comparison of experiment (dots),
translating-layer kinetics modeling (dash lines) and 3-D MC profile simulation (solid
lines). Neutral-to-ion flux ratio is 500.
2.6.3
Polysilicon etching in C 2/Cl 2 +
Figure 2.6 (a) shows the etching yields as a function of square root of ion energy
for silicon etching in Cl 2/C12 + system. Since the etching yield of most of basis reactions
(e.g. sputtering and ion-induced etching) follows a square root dependence on ion energy,
an approximate linear relationship is observed for the curve. Again the agreements
among three sets of results proved our kinetics model as well as its translation into the
cellular model and the small deviation is due to the cellular characteristics. Figure 2.6 (b)
shows the surface chlorination level, which is approximately 0.35 when ion energy is
around 300 eV, comparable to that of silicon etching in saturated Cl/Cl' as well as Cl/Ar +
system, showing the consistency of our reaction set and the corresponding fitted
coefficients. Chlorination declines with the ion energy due to the faster removal rate of
ion-induced etching products such as SiC12.
2.6.4
Si etching in C12 plasmas
Silicon etching in chlorine plasmas were measured by Vitale et al, showing the
chlorine plasmas was composed of 10% Cl and 90% Cl 2 neutral radicals as well as 30%
Cl and 70% Cl 2+ ions. The measured etching yield was between silicon etching yield in
C1/Cl + and C12/C2 + cases, as shown in Figure 2.7 (a). Then the fitted parameters from
silicon beam etching in Cl/Cl1 and C12/C12 + were applied and calculated in proportion to
real experimental conditions indicated above. Neutral to ion flux ratio was assumed to be
500 since saturation regime was reached in the experiments. With these conditions, the
model predicted dependence of etching yield on square root of ion bombardment energy
is shown in Figure 2.7 (a), in which the results of silicon etching in Cl/Cl+ and C12/C12+
are also shown for comparison. The predicted etching yield was found to closely match
that measured in experiments, showing that the model successfully predicted conditions
that were not fitted.
Surface coverages of silicon, chlorine and vacancy as a function of ion
bombardment energy are shown in Figure 2.7 (b). The vacancy concentration increases
and the chlorine concentration decreased at higher ion bombardment energy, consistent
with experimental observations. 24 The concentration below 25 eV is related to the
threshold energy of multiple ion-initiated reactions and the results may be unphysical.
Comparisons of surface coverage also indicate that the silicon/chlorine concentration in
Cl 2 plasmas are in between that of silicon etching in Cl/C1+ and C12/C12 + beams.
2.6.5
Comparison to Other Studies
The basis reaction set was demonstrated to quantitatively capture the etching
behavior of polysilicon in chlorine plasmas under various conditions. The underlying
mechanism awaits examination as well, such as surface coverage and product
distributions.
8.0
7.0
6.0
-
*
C12 + CI2 ions
0
CI + CI ion
A
C12 Plasmas
-
Kinetics Model
o
- 5.0 -
C12 Plasmas-3D MC Profile Simulat$r
.2 4.0
A
,7
1
r
/,
w
/
2.0
1.0
0.0
5
10
15
Square Root of Energy(eVo 5)
(a) Etching yield vs. square root of energy.
1.00
-
-
Kinetics Modeling -
3D Profile Simulator
0.80
Si
0.60 -
C
0.40
-0.20-
0.00 0
50
100
150
200
Ion Energy (eV)
250
300
(b) Surface coverage vs. ion bombardment energy
Figure 2.7. Poly-Si etching in Cl discharge including silicon etching in Cl/Cl1 beams
(diamond), in C12/C12 + beams(square) and in Cl 2 plasmas (triangle) and comparison of
experiment (dots), the mixing-layer kinetics modeling (dashed lines) and 3-D MC profile
simulation (solid lines). Neutral-to-ion flux ratios are all 500.
1. Surface chlorination level. Table 2.3 summarizes the surface chlorination from
our model and comparisons to the results from other researchers. Humbird and Graves
simulated the Cl uptake in Si etching by Cl/Ar at an ion energy of 200 eV and neutral-toion flux ratio of 10028 and the surface chlorination was calculated to be 0.27, consistent
with our simulated results. Ohta et al conducted molecular dynamics simulation of
silicon etching by energetic halogen beams and reported the surface chlorination results
for Si/Cl1 etching at E=30, 50, 100 eV 29, which agree with our simulated results, as
shown in Table 2.3. Osano constructed an atomic scale model of multiplayer surface
reaction and simulated the distribution of Cl atoms as a function of depth from the
surface for different ion energies. 30 The average Cl fraction along the whole mixing
region is consistent in terms of the overall chlorination level and their trends with respect
to energies.
In regard to the surface chlorination as a function of neutral-to-ion flux ratio, the
chlorination increases as neutral-to-ion flux ratio increases from 1 to 100 at E=50 and 150
eV, as shown in Table 2.3. It is believed this is due to the abundant Cl adsorption on the
surface and lack of sufficient ion-induced etching removals, as validated by several other
researchers. Barone et al simulated the reactive ion etching of silicon by chlorine 25 and
results showed that chlorine fraction went up with the amount of chlorine neutral radicals,
consistent with our prediction as well. Hanson et al also calculated the chlorine content of
chlorosilyl layer at different neutral-to-ion flux ratios and the derived fractions as shown
in Table 2.3 were comparable to our results.2 6 As suggested by Levinson et al,23
additional adsorbed chlorine accounted for the higher yields on Cl-saturated surfaces.
The energy dependence of the surface composition is intriguing. For both
saturated (high neutral-to-ion flux ratio) and non-saturated regime (low neutral-to-ion
flux ratio), our results show that surface chlorination declines as ion energy increases.
This trend has been validated by some authors and the reason is as energy increases, more
chlorine on the surface is removed with constant incoming chlorine neutrals, resulting in
a net decline of the surface chlorination. Barone et al
25also
predicted using MD that low
energy such as 25 eV gives a higher chlorination, in accord with our results similarly due
to the insufficient chlorine removal mechanism at low energies. Further comparison of
the surface composition at 30 eV to 50 eV and neutral-to-ion flux ratio of 0 to 100, shown
in Table 2.3, suggested that surface chlorination is lowest at low neutral-to-ion flux ratio
and high ion energy. It can be rationalized as follows: at low neutral-to-ion flux ratio,
limited amount of chlorine neutrals is available to the surface to compensate for the
losses through ion-induced etching and it is more severe at higher energies since the
removal mechanism is typically ion-driven. This speculation was supported by the
experimental observation by Layadi and Donnelly 5 and they found that in chlorinesaturated regime, as ion energy was increased from 16 eV to 116 eV, the chlorine content
at the surface of the mixing layer remained at a roughly constant level. It was comparable
to the results in our work and the rationale is that at high neutral-to-ion flux ratio, the ioninduced reaction is in equilibrium with the dynamic adsorption process.
2. Product distribution. Hanson et al conducted molecular dynamics simulation of
Si etching by Cl + and found the stoichiometry of the product was quite energy dependent,
being exclusively SiCl 2 at a low energy of 15-75 eV and favoring Si atoms above 75
eV. 26 Humbird and Graves 28simulated Si etching by Cl/ Ar +, in which an overall Cl over
Si ratio in the products was estimated to be 2.5, which is very close to Cl/Si of 2.49 in our
results. Many researchers indicated SiCl 2 and SiCl 4 as the primary etching products in
chlorine-enhanced etching of polysilicon. 24 Fuller et al studied the surface coverage, etch
rate, and the product distribution of Si etching in C12/Ar plasmas. 31 The surface species
were detected by laser desorption, showing that SiCl and SiCl 2 concentrations picked up
as the chlorine fraction exceeded a threshold value. Dieleman et a132 and Oostra et a133
both measured product distributions for the Ar /Cl 2 etching of silicon and detected
dominant SiCl 2 product species at energy levels below 200 eV. Layadi et al measured
using XPS that the SiClx coverage integrated over depth was SiCI:SiC12 :SiC13 =
1:0.34:0.087 at 40 eV and 1:0.33:0.13 at 280 eV.5 Hanson et al simulated Si etching by
Cl and predicted near-surface SiCl: SiCl 2 : SiC13 to be 1:0.29:0.03 at 50 eV.26 It also
predicted that SiCl2 was the major etch product at 50 and 100 eV, with lesser amounts of
SiCl and SiC13, and even lesser amounts of Si. Bogart and Donnelly et al observed the
formation of the chlorinated layer during Cl 2 plasma etching of Si and SiCI>SiCl 2>SiC13
for Si etched at Cl 2 flow rates between 2.6 and 10.0 sccm. 34 The composition of the layer
did not change although the flow rate of Cl 2 was decreased 95%. Summarizing all the
comparisons, the proposed reaction set in this kinetics model and the dominant ioninduced etching product, SiC12 , is representative across all the silicon chlorides and show
reasonably well behavior relative to other experimental and simulation work.
Table 2.3. Comparison of the mixing-layer kinetics modeling results and the published
data in the literature.
E (eV)
25
30
50
Neutral-to-ion ratio
Nc / N
150
Ref.
0.30
--
0.40
25
Nc / Nc =0
0.73
0.27
0.67
0.33
29
Nc / N , = 400
0.61
0.39
--
0.36
5
cl = 0
0.80
0.20
--
0.20
25
Nc1 / N 1 = 0
0.80
0.20
--
0.28
26
Nc / Nc
=0
0.80
0.20
0.82
0.18
29
Nc / Ncl = 1
0.78
0.22
--
0.36
30
Nc / Ncr = 3
0.76
0.24
--
0.40
26
Nc / N0l =10
0.70
0.30
--
0.36
30
Nc / NcI = 100
0.63
0.37
--
0.33
30
= 100
0.63
0.37
--
0.30
25
Nc/ Nc, = 400
0.63
0.37
--
0.36
5
0.85
0.15
0.83
0.17
29
Nc / Ncr =400
0.63
0.37
--
0.36
5
Nc / Ncr = 1
0.85
0.15
--
0.49
30
/Nc
= 10
0.77
0.23
--
0.31
30
NO / Nc
=100
0.62
0.38
--
0.27
30
Nc, NAr+ = 100
0.75
0.25
--
0.26
28
Nc /
Nc
N
200
Fractions estimated
according to references
Si
Cl
0.70
c /cr
100
= 100
Fractions simulated
in this paper*
Si
Cl
/N
=0
a) All the silicon and chlorine coverage in our modeling results are normalized here without vacancy
fraction to compare with the corresponding literatures.
b) Cl adsorption is roughly 20 ML prior to the ion incidence and assumed in the saturation regime
and equivalent to a neutral-to-ion flux ratio of 100. To convert the chlorine adsorption in ML to the
fraction, the thickness of mixing layer and Si-Si bond length was estimated. At E=50 eV, the
thickness used is 20 A and at E=200 eV, the thickness is 25 A. Si-Si bond length is constant to be
2.3 A.
c) Density of Si is 2330 kg/m 3 is used to estimate the areal density and compared to the areal density
of Cl.
2.7
Conclusions
As a subset of reactive site modeling, the mixing-layer kinetics model was
developed with the fundamental assumptions of the translating mixing-layer. The
translation of the layer enabled the simulation of both etching and deposition. A lumped
set of reactions were included to carry on the overall chemistry for poly-Si etching in
chlorine beam/gas plasma. The reaction rates were expressed as functions of surface
elemental coverage and incident ion/neutral radical fluxes. The rate coefficients were
fitted to the experimentally measured etching yields at various beam etching conditions.
The comparison to experimental results showed that the model is able to capture the
dependence of etching yield on neutral-to-ion flux ratio and ion energy quantitatively.
The modeled surface composition is close to the experimentally measured values.
Moreover, the parameters obtained from the Cl/C1+ and C12/C12 + beam etching data were
combined to predict the etching of poly-Si in Cl 2 gas plasma with the measured ion and
neutral composition as input. The modeled etching yield of poly-Si in Cl 2 gas plasma
showed good agreement with the experiments. Then the kinetics model was incorporated
into the 3-Dimensional (3-D) Monte Carlo (MC) profile simulator. The concept of the
mixing-layer was emulated in the cellular-based model through composition averaging
among neighboring cells. The reactions were sorted out according to their physical
characteristics and were called up separately when an ion or neutral strikes the cell. The
reaction rates were calculated as a function of the cellular composition and used as
probabilities to remove particles from the cell. Profile evolution results showed that the 3D MC profile simulation with the kinetics incorporated, the mixing-layer kinetics
modeling results and the experimental etching yields are in good quantitative agreement.
The simulated surface compositions and reaction rates were validated by published
research work. The mixing-layer kinetics model was demonstrated to be a generic
approach to explore the kinetics of various dielectric materials and chemistries. It is
especially useful for convoluted etching process such as oxide and low-k dielectrics
etching in fluorocarbon plasma. In addition, with its incorporation into the 3-D profile
simulator, it can be used to explore the surface evolution and roughness formation
quantitatively.
2.8
References
1.
Chang, J.P. and J.W. Coburn, J Vac Sci Technol A, 21(5):S145-S151, (2003).
2.
Jin, W.D. and H.H. Sawin, J Vac. Sci. Technol. A, 21(4):911-921, (2003).
3.
Jin, W.D. and H.H. Sawin, J Electrochem. Soc., 150(11):G71 1-G717, (2003).
4.
Jin, W.D., S.A. Vitale, and H.H. Sawin, J. Vac. Sci. Technol. A, 20(6):2106-2114,
(2002).
5.
Layadi, N., V.M. Donnelly, and J.T.C. Lee, J Appl. Phys., 81(10):6738-6748,
(1997).
6.
Humbird, D. and D.B. Graves, JAppl Phys, 96(5):2466-2471, (2004).
7.
Bertran, E., et al., Diamondand RelatedMaterials,10(3-7):1115-1120, (2001).
8.
Boucher, R., et al., Microelectron.Eng., 73-74:330-335, (2004).
9.
Merz, M., et al., Nucl. Instrum. Methods Phys. Res., Sect. B, 166:334-338, (2000).
10.
Jacob, W., Thin Solid Films, 326(1-2):1-42, (1998).
11.
Steinbruchel, C., Appl Phys Lett, 55(19):1960-1962, (1989).
12.
Wittmaack, K., Phys. Rev. B., 68(23):235211, (2003).
13.
Chang, J.P. and H.H. Sawin, Journal of Vacuum Science & Technology B,
19(4):1319-1327, (2001).
14.
Sigmund, P., PhysicalReview, 184:383, (1969).
15.
Bohdansky, J., J. Roth, and H.L. Bay, Journal of Applied Physics, 51(5):28612865, (1980).
16.
Bay, H.L., J. Roth, and J. Bohdansky, Journal of Applied Physics, 48(11):47224728, (1977).
17.
Matsunami, N., et al., RadiationEffects Letters, 57(1-2):15-21, (1980).
18.
Zalm, P.C., Journalof Applied Physics,54(5):2660-2666, (1983).
19.
Flamm, D.L., V.M. Donnelly, and J.A. Mucha, J.Appl. Phys., 52:3633, (1981).
20.
Walker, Z.H. and E.A. Ogryzlo, Chem. Phys., 153(3):483-489, (1991).
21.
Walker, Z.H. and E.A. Ogryzlo, J.Appl. Phys., 69(4):2635-2638, (1991).
22.
Walker, Z.H. and E.A. Ogryzlo, J. Chem. Soc.-Faraday. Trans., 87(1):45-50,
(1991).
23.
Butterbaugh, J.W., D.C. Gray, and H.H. Sawin, J. Vac. Sci. Technol. B,
9(3):1461-1470, (1991).
24.
Gray, D.C., I. Tepermeister, and H.H. Sawin, J Vac. Sci. Technol. B, 11(4):12431257, (1993).
25.
Ulacia, J.I., C.J. Petti, and J.P. McVittie, J Electrochem. Soc., 135(6):1521-1525,
(1988).
26.
Press, W.H., Flannery. B. P. , Teukolsky. S. A. , Vetterling. W. T. , Numerical
Recipes in C, (1988).
27.
Levinson, J.A., et al., J.Vac. Sci. Technol. A, 15(4):1902-1912, (1997).
28.
Chang, J.P., A.P. Mahorowala, and H.H. Sawin. in International workshop on
basic aspects of nonequilibriumplasmas interactingwith surfaces (BANPIS"97).
1998: AVS.
29.
Barone, M.E. and D.B. Graves, J.Appl. Phys., 78(11):6604-6615, (1995).
30.
Hanson, D.E., A.F. Voter, and J.D. Kress, J.Appl. Phys., 82(7):3552-3559, (1997).
31.
Humbird, D. and D.B. Graves, J.Vac. Sci. Technol. A, 23(1):31-38, (2005).
32.
Hamaguchi, S. and H. Ohta, Vacuum, 66(3-4):189-195, (2002).
33.
Osano, Y. and K. Ono, Jpn JAppl Phys 1, 44(12):8650-8660, (2005).
34.
Fuller, N.C.M., et al., Appl. Phys. Lett., 82(26):4663-4665, (2003).
35.
Dieleman, J., et al., J.Vac. Sci. Technol. B, 3(5):1384-1392, (1985).
36.
Oostra, D.J., et al., J Appl. Phys., 64(1):315-322, (1988).
37.
Bogart, K.H.A. and V.M. Donnelly, J.Appl. Phys., 86(4):1822-1833, (1999).
3.
3.1
Modeling of angular dependence of etching yield
Introduction
Feature profile simulation is regarded as a useful tool to understand top LER 1-6
and yet profile simulation has to be combined with detailed chemistry to predict feature
evolution and sidewall roughness accurately. Various kinetics models have been
developed to explain surface reactions during plasma etching. 7-8 Detailed plasma-surface
interactions were identified then the corresponding reaction rates and surface composition
were determined. The constraint of all current kinetics models is, however, the lack of the
angular dependence of plasma etching. As a result, most kinetics models are constrained
to model the etching with normal ion incidence and lack of the capability of modeling
off-normal ion etching.
Angular dependence is the variation of etching yields with respect to ion
bombardment angle. Angular dependence causes sidewall roughness while ions coming
to the surface at grazing angles. According to angular dependence, etching rate varies
dramatically with the ion impingement angle depending on etching chemistry, creating
non-uniform etching on the sidewall. Surface roughness is greatly affected by the ion
impingement angle, by means of not only the magnitude but also the topography, such as
the orientation with respect to the ion impingement angle. For example, in pure sputtering
etching of poly-silicon, people have observed the transverse striation at intermediate offnormal angle to parallel striation at very grazing angle. 9-11 A complete kinetics model
with the appropriate angular dependence is critical in order to model this kind of
roughness variation using profile simulator.
Two types of angular dependences have been observed in experiments. One is
physical sputtering, the etching yield of which increases with off-normal angle first,
reaching the maximum at around 650 and drops off gradually. ' The other is ionenhanced etching, which has a maximum at normal incidence and drops monotonically
with off-normal angle.'13 Although these trends are well known, the mechanism to trigger
the transition between sputtering to ion-enhanced etching was poorly understood and
elaborated. As numerous research suggested that the reactions take place in the mixing
layer, angular dependence is believed to be directly associated with the surface
composition as a result of the plasma composition and ion energy.
The development of the angular dependence modeling will be discussed in this
chapter based upon the mixing-layer kinetics model. More specifically, the angular
dependence was assigned for individual fundamental reaction included in the kinetics
model and the overall angular dependence was predicted with the summation of
individual reaction rates. The transition of angular dependence from physical sputtering
to ion-enhanced etching was captured using surface composition and ion energy. Next the
poly-silicon etching in chlorine plasma was used as a test case and the modeling results
were compared to experimental work.
3.2
Angular dependence for fundamental reactions
All the rate coefficients were kept the same as those fitted in Chapter 2. A new
angular dependence term is added to the etching yield expression according to their
reactive characteristics. For example, the vacancy generation reaction is expressed as
rv = kv x G,+ x f(O)
(1)
Here rv is the reaction yield, k v is the rate coefficient of vacancy generation, Gc1+ is
the C1' flux, 0 is the off-normal ion angle and f(O) is the angular-dependence term.
The rate coefficient kv was fitted to experimental data previously and then kept it
constant. f(O) has its unique expression for each type of reaction according to their
characteristics and is unity at normal incidence of ions. Since ion flux is directional and
neutral is isotropic, reactions initiated by ions vary with the ion bombardment angle
resulting in the unique angular dependence. While reactions initiated by neutrals do not
respond to the ion bombardment thus has constant angular dependence curve. Next the
angular dependence of all the ion-initiated reactions will be discussed.
Ion incorporation. According to linear collision cascade cascade theory, 18 an
incoming ion collides with the atoms of the solid, transfers energy and gets incorporated.
Ions get reflected at off-normal ion angles due to inefficient energy transfer thus ion
incorporation declines with off-normal angle. Thereby, ion incorporation probability is
assumed to be cos 0 in this work. It is consistent with the presumed conception that at
normal incidence the angular dependence is unity and at very grazing angle, the ion
incorporation is close to zero.
Neutral adsorption is considered isotropic and irrelevant of the ion incidence
angle. The sticking probabilities of different neutrals are determined by matching up the
experimental data at normal incidence previously and kept constant.
Physical sputtering is caused by collision cascade at the surface layer. The angular
dependence of the sputtering is well recognized among researchers and already provided
in the last chapter. Sputtering yield increases with off-normal ion angle and the maximum
etching yield is at -65'. The decrease in sputtering yield beyond 65' is attributed to ion
reflection at glancing angle. People have measured and simulated the angular etching
yield curves for poly-silicon and oxide in Ar sputtering. 12 ' 19 In this chapter, the angular
curves for Ar sputtering of polysilicon measured by Yin were used. 17 He built a RIE
beam chamber with inductively coupled plasma and measured poly-silicon sputtering
yields under Ar+ bombardment as a function of off-normal angle at different ion energy
levels. The data at three ion energy levels, 110 eV, 310 eV and 370 eV were fitted all
together as shown in Figure 3.1. It can be seen that the curve is similar to most of the
physical sputtering curves reported previously. 13 It is also similar to what we calculated
using SRIM theoretic tools. The expression of this fitted angular curve is shown in Table
3.1 and will be used in the modeling later on.
3
L
O
U
a)
2)
)
N
E
0
z
0
0
30
60
90
Off-normal Angle (0)
Figure 3.1. Ar sputtering yield of Poly-silicon as a function of off-normal angle at various
ion energy levels. The solid line was used in the modeling work to represent the physical
sputtering angular dependence.
2 measured the angular etching yields of
Ion-induced Etching. Chang and Vitale 3 'zo
poly-silicon in saturated chlorine-based plasma, at which condition the etching is
dominated by ion-enhanced reactions. In terms of angular dependence, the etching yield
is constant between 00 and 450 off-normal angle, and then declines to zero at grazing
angle. The rationale is that the ion-induced etching scales with the ion energy deposited
on the surface causing bond breakage, which subsequently allow chemical reactions to
proceed. The energy transfer to the surface is relatively insensitive to the impingement
angle at low off-normal angles. At greater angles the drop in etching yield is assumed to
be caused by the increasing probability of elastic scattering. The angular etching yield
data measured by different researchers were fitted and the trend line was used in our
kinetics model, as shown in Figure 3.2. The expression for this normalized angular curve
is shown in Table 3.1.
A Chang, 50 eV CI+/CI, saturated
* Vitale, 300 eV Cl+/C12, saturated
-Trend
line
o
S1.04
-
N0.5
0
Z
0
30
60
Off-Normal Angle(o)
90
Figure 3.2. Normalized etching yield vs. off-normal angle of ion incidence for polysilicon etching in chlorine plasma. Dots are experimental data, measured by Chang and
Vitale et al. Solid line is the angular dependence for ion-enhanced etching used in our
kinetics model.
Vacancy generation is a crucial process as it provides insight to bond breakage
and product removal during plasma etching. Nevertheless, little work has been reported
to actually measure or simulate the dangling bond generation rate as a function of ion
incidence angle due to the evasive nature of the dangling bond. Satake et alzlsimulated
the dangling bond generation on hydrogen terminated Si surface under ion bombardment
using molecular dynamics. The results showed that the dangling bond generation rate is
proportional to the ion bombardment energy, suggesting collision cascade contributes to
bond breakage. For this reason, people tend to assume dangling-bond generation has the
same angular and energy dependence as that of physical sputtering. However, bond
breakage is distinctively different from physical sputtering in many folds. First, an atom
needs to overcome both lattice binding energy and surface binding energy to be sputtered
away from the surface. In the TRIM calculation, the lattice binding energy of poly-silicon
is assumed to be 2 eV and the surface binding energy is 4.7 eV. As for bond breakage, it
only takes lattice binding energy to break bonds thus the energy barrier for bond breakage
is much lower than physical sputtering. Second, unlike physical sputtering, bond
breakage is not necessarily initiated by target atom or a specific momentum direction.
Physical sputtering yield is counted as the target atoms escaped out of the surface. Energy
on the projectiles within the lattice may break bonds without contributing to the
sputtering yield. Moreover, momentum on the target atom has to point upward to escape
from the surface and contribute to sputtering. Atoms with downward velocity will keep
colliding until it loses its energy. As for bond breakage, it takes place through collisions
between incident and target atoms as well as between atoms moving in all directions
along the way of collision cascade. For the typical physical sputtering, the peak at 65'
off-normal angle in the angular curve is due to the greatest energy transfer from the
incident atom to the target atom. As for dangling bond generation, since target is not the
only source of bond breaking, the angular curve does not necessarily peak at 650. For all
above reasons, we did not simply assume the angular dependence of vacancy generation
to be same as physical sputtering. Instead, vacancy generation was simulated at different
off-normal angles using a software package, "The stopping and range of ions in
matter"(SRIM). SRIM is a collection of software packages calculating ion transport in
matter and collision cascade. In addition to the applications including ion stopping, ion
implantation, sputtering, ion transmission, it can track the target damage and estimate the
vacancy generation.22 Note that the "vacancy" in this paper refers to a missing electron of
a dangling bond and the "vacancy" in SRIM refers to a hole in the interstice and such a
vacancy in a covalent semiconductor material produces four unpaired dangling bonds.
For the purpose of our modeling, the vacancy generation rate calculated by SRIM is
assumed to be proportional to the number of dangling bonds. The modeling of Ar ion
bombardment of the poly-silicon surface was conducted at ion energy of 500 eV with
10000 particles, using two types of damages: full cascade and "Kinchen-Pease". Full
cascade follows each recoil until its energy drops below the lowest displacement energy
and all collisional damage to the target is analyzed. "Kinchen-Pease" is a relatively quick
calculation by ignoring target atom cascades and limiting the calculation to the ion
trajectories. Both types of calculations give similar angular dependence results of
vacancy generation, which is shown in Figure 3.3. Results showed that for poly-silicon
sputtering under Ar+ bombardment, the angular dependence of vacancy generation does
not agree with physical sputtering. It looks rather like ion-induced etching curve, staying
flat below 450 off-normal angle and declining gradually beyond 450. Based upon this
result, the angular dependence for vacancy generation is assumed to be the same as ionenhanced etching, shown in Figure 3.2. The difference of our curve from SRIM
calculation is SRIM only considers the physical interactions between atoms and ignore
the chemistry on the surface. Especially when the surface is chlorinated, the etching is
dominated by ion-enhanced etching and the dangling bond is created once product is
desorbed from the surface. The expression for this normalized angular curve for vacancy
generation is shown in Table 3.1.
Table 3.1. Angular dependence expressions of physical sputtering, ion-induced etching
and vacancy generation used.
Reaction.
Expression
---
Physical
Sputtering
f(0*) = -141.29cos 6 0 + 641.1 lcos 0 -1 1ll1.3 cos 4 0
+ 944.63 cos 3 0 - 421.98cos 2 0 + 95.31cos 2 0 - 5.46
Ion-induced etching
If 0 < 25, f (0) = 1,
If 0 > 25(90
- 0) (0 - 25) * (0 - 90)
65
5000
Vacancy
generation
If 0 < 25 0 , f(0) = 1,
(90 - 0) (0 - 25)* (0 - 90)
5000
65
4
-~
0.8
S 0.6
0.4
0.2
n
0
20
40
60
80
100
Off-normal angleC)
Figure 3.3. Angular dependence of vacancy generation vs. off-normal angle. Dots are
SRIM calculation results of Ar sputtering of poly-silicon at E=500 eV: square dots with
full cascade damage, diamond with Kichin-Pease damage. Solid line is the angular
dependence for vacancy generation used in our model.
Densification and Dangling Bond Annihilation. Densification refers to the
disappearance of a dangling bond and collapse of crystal structure. Annihilation is the
combination and removal of two dangling bonds. Densification and annihilation are
direct results of excessive dangling bond generation and assumed to follow the similar
reaction mechanism when surface is chlorinated. Therefore in the modeling the angular
dependence of densification and annihilation is assumed to be same as vacancy
generation reaction, as shown in Table 3.1.
Spontaneous reactions take place with neutrals absorbing onto the surface without
ion bombardment. It is irrelevant of ion so that it has uniform rate with respect to ion
incidence angle.
So far the angular dependence curves for all the reactions included in the kinetics
modeling have been discussed. Then the poly-silicon etching in chlorine gas plasma was
simulated at different off-normal angles and the results were compared to the
experimental results to demonstrate the accuracy of the angular dependence modeling.
3.3
Results and discussions
The angular etching yields for poly-silicon etching in C12/Ar plasma was modeled
and compared to the experimental data at identical conditions. Yin et al conducted polysilicon etching in C12/Ar plasma beams at different ion energy levels (160 eV and 260
eV) and off-normal angles of ion incidence (from 00 to 820). 17 At a fixed RF power level,
Cl 2 and Ar gas were fed into the chamber at a proportion so that beam pressure and
neutral-to-ion flux ratio can be monitored by changing the Cl 2 percentage in or total flow
rate of the feed gas. They measured the ion and neutral composition using Mass
Spectroscopy and the results are summarized in Table 3.2. They also examined the postetch surface elemental intensity using angular resolved x-ray photoelectron spectroscopy
(AR-XPS). The ion and neutral compositions experimentally measured were taken as
inputs to the kinetics model, predicted the etching yields and surface composition, and
then compared to the etching yields and surface composition experimentally measured.
Together with all the operating conditions such as ion energy and off-normal angle, the
etching yields of poly-silicon were modeled as a function of off-normal angle changes.
Table 3.2. Ion and neutral composition in C12/Ar plasma at different neutral-to-ion flux
ratios measured by Mass Spectroscopy.
Neutral-to-ion flux ratio
Ion/Neutral Fraction
3.5
20
131
Cl
0.63
0.30
0.23
C12
0.37
0.70
0.77
Cl1
0.40
0.69
0.54
C12+
0.082
0.054
0.42
Ar +
0.522
0.257
0.04
Figure 3.4 shows the etching yield of poly-silicon versus ion bombardment angle
in chlorine plasma at three neutral-to-ion flux ratios (3.5, 20, 131) at 160 eV ion energy.
Note that the absolute etching yields were graphed instead of normalized etching yields
for better comparison. First of all, it can be seen that the modeled etching yields at normal
incidence agree with experimental data very well, with deviations of about 15%. Since
we fitted all the rate coefficients to beam scattering experimental data and kept those
constant here, the good agreement here suggests the reaction mechanism we proposed
with the fitted rate coefficients are applicable for actual chlorine gas plasma. The
comparison to these experimental data in real C12 gas plasma at different conditions is
another validation of our model in terms of accuracy and flexibility. Second, the shapes
of the curves follow the identical trend to experimental data, with a transition from
sputtering to ion-enhanced mechanism as neutral-to-ion flux ratio increases. In Figure 3.4
(a), at low neutral-to-ion ratio, the angular curve is similar to that of physical sputtering,
with a peak at -650. However, the maximum-to-normal ratio is around 1.35, much lower
than that of physical sputtering, which is usually around 4. That suggests the etching
chemistry is mostly sputtering, with a minor component of chemical etching. In Figure
3.4 (b) at intermediate neutral-to-ion ratio, the angular curve is close to that of ioninduced etching, which does not drop off until 400 off-normal angle. Figure 3.4 (c) is
similar to (b) although at a higher neutral-to-ion flux ratio. At high neutral-to-ion flux
ratio, the angular curve is largely similar to that of ion-induced etching curve, which
makes sense because ion-induce etching is dominating at saturation regime. And the
consistency of the modeling results with the experimental data can be attributed to two
reasons: 1) in the kinetics model, the parameters including the rate coefficients and
threshold energies of all reactions were determined based on the experimental data
measured at normal ion incidence. In order for those parameters to be reasonable for all
operating conditions especially for off-normal incidence, the parameters were examined
very carefully in terms of absolute/relative amount. The parameters were analyzed based
on all available theoretic analysis and comparing our simulation results to all published
data. The consistent angular curves shown in Figure 3.4 indicate the parameters
determined previously are physically reasonable and able to predict the angular etching
behavior accurately. 2) The angular curves assigned for all the reactions are chemically
reasonable. Particularly, it suggested the angular curve for vacancy generation reflected
the characteristics of dangling bond generation at off-normal angles. This is critical as no
prior work explored the dangling bond generation at off-normal angles and the our TRIM
simulation on vacancy generation at off-normal angles is the first piece of work and could
provide potential insights to evaluate vacancy generation.
0
*7
0
E
. - -
0,
iPi
cn
411111
.9 0.5
.C
w
Experiment
-
Simulation
I
0
80
100
Off-Normal Angle(o)
(a)
-10
Experiment -
Simulation
20
100
o
Off-Normal Angle( )
(b)
O
6
o
E
4
0
20
40
60
80
100
Off-Normal Angle(o)
(c)
Figure 3.4. Etching yield of poly-silicon vs. off-normal angle of ion incidence at 160 eV.
Dashed line is the experimental data collected in Cl 2/Ar + plasma. Solid line is the kinetics
modeling result at identical condition. (a) Neutral-to-ion flux ratio = 3.5, (b) Neutral-toion flux ratio = 20, (c) Neutral-to-ion flux ratio = 131.
Figure 3.5 shows the experimental data and the modeling results of the etching
yields vs. off-normal angle at three neutral-to-ion flux ratios at 260 eV. Again at normal
incidence, the modeled etching yields are quantitatively consistent with experimental data.
At higher ion energy levels, the angular curves look similar to those at 160 eV in all three
cases, with a transition from sputtering-like curve to ion-enhanced reaction angular
dependence. However, difference still exists between the results at 160 eV and 260 eV. In
Figure 3.5 (a) of low neutral-to-ion ratio, the modeling result gives a peak-to-normal ratio
(maximum etching yields divided by the etching yields at normal incidence) of about 1.5,
greater than -1.35 in the modeled result at 160 eV. That suggests the higher ion energy is
shifting the etching chemistry to more sputtering like, which is consistent to experimental
observation. However, this feature is not reflected in experimental data, which showed
similar peak-to-normal ratio at 160 eV and 260 eV. To summarize the comparison, the
modeling results actually provided more details and insights into the plasma chemistry,
especially by comparing parallel operating conditions such as different ion energies.
Figure 3.5 (b) and (c) show the etching yields at intermediate and high neutral-to-ion flux
ratios and the angular curves both look like ion-induced etching, monotonically declining
with ion angle. It suggested that for poly-silicon etching in chlorine chemistry, the
etching chemistry gradually shifts toward ion-induced etching with the neutral-to-ion flux
ratio. The etching yield becomes saturate above some neutral-to-ion flux ratio (such as
20), with a similar angular curve at even higher neutral-to-ion ratio.
0
~1.5
0
E
(D
1
0,
G 0.5
w
A
0
20
40
60
Off-Normal Angle(o)
(a)
80
100
0
0
E
N
oE
U
.m
ijZ 2
-
0
w
---
Experiment -
Simulation
0
Off-Normal Angle()
(b)
8
O
_ -
6
a-~
..-
-M.
b"
0
E
i7 4
",
C
2
w
0
0
20
40
60
Off-Normal Angle(
80
)
(c)
Figure 3.5. Normalized etching yield of poly-silicon vs. off-normal angle of ion incidence
at 260 eV. (a) Neutral-to-ion flux ratio = 3.5, (b) Neutral-to-ion flux ratio = 20, (c)
Neutral-to-ion flux ratio = 146. Dashed line is the experimental data of poly-silicon
substrate etched in CI 2/Ar + plasma. Solid line is the kinetics modeling result at identical
condition.
Figure 3.6 shows a comparison of the surface composition vs. off-normal angle
after etching. The experimental surface composition was obtained from the XPS
measurements. The elemental peaks from XPS were integrated and normalized to their
atomic sensitivity factors. 12 In Figure 3.6 (a), at low neutral-to-ion flux ratio of 3.5 and
ion energy of 160 eV, modeling results show silicon accounted for 90% and chlorine
10% on the surface. XPS results shows 70% Si and 30% Cl on the surface.
Mechanistically, the modeled clean (chlorine-less) surface favors the sputtering more
than ion-enhanced etching. In other words, it is easier for this clean surface to remove Si
atom than to from SiCl 2 type of products. This surface preferential mechanism is
reflected in the angular dependence shown in Figure 3.4 (a), a typical sputtering type of
curve. In Figure 3.4 (b), at high neutral-to-ion flux ratio of 131 and ion energy of 160 eV,
modeling results predict the surface composition with 2/3 silicon and 1/3 chlorine, close
to the experimental measurements. This time more chlorinated surface favors the
formation of chemical products like SiCl 2 more than sputtering. Again the inclined
reaction mechanism is embodied in the ion-enhanced etching type of angular curve,
shown in Figure 3.4 (c). Figure 3.6 (c) shows low neutral-to-ion flux ratio but higher
energy, 260 eV, the modeled results show large amount of silicon and little chlorine on
the surface, similar to 160 eV. Figure 3.6 (d) shows similar trends at high neutral-to-ion
flux ratios and higher ion energy, 260 eV, where surface is chlorinated. In summary, the
experimental data and the modeling results both indicate stable silicon and chlorine
fraction vs. off-normal angle. However, the chlorination level is different from
experiment and model. XPS give a roughly 30% chlorination on the surface in both
neutral-to-ion flux ratio. In contrast, the modeled surface compositions are drastically
different at low and high neutral-to-ion flux ratio. With low neutral content, the model
showed fairly low chlorination, -10%. At high neutral-to-ion flux ratio, the model
predicted high chlorination up to 40%. XPS results fail to disclose the different level of
chlorination as the model due to the inhomogeneity of chlorination along the depth
toward the substrate. In XPS measurement, the mean free path of the photoelectrons, A, is
2-3 nm23 and yet the penetration depth, d = Asin 0, may reduce especially at low take-off
angles (300 for the results shown in this paper). Thereby, the effective sampling depth of
XPS can be thinner than the theoretical mixing layer for the kinetics model in this thesis,
which is also 2-3 nm. Experimentally, the species introduced from the gas phase deposit
and enrich at the top of the surface, which has been observed by Layadi et al. 23
Consequently, the XPS measurement will be biased with more chlorine and less silicon.
On the other hand, the well-mixing assumption of the kinetics model artificially
homogenize the composition in the top layer, resulting in a lower chlorination on the top
surface relative to the measured chlorination on the top suface. 23 In summary, due to the
differences in the sampling depth and elemental distribution, more surface
chlorination/fluorination is expected from the XPS measurement than the modeled
surface composition. The model actually shows an advantage over the experiment the
model shows a clear transition from low chlorination to high chlorination with the
neutral-to-ion flux ratio, providing insight to the reaction mechanism. In contrast, the
experimental XPS measurement shows fairly constant chlorination level irrelevant of the
neutral-to-ion flux ratio, which fails to provide detailed information about the reaction
mechanism.
~-
I
-E
--
- Experiment -
-
Simulation
/Si
-
--
0.0
60
30
Off-Normal Angle(o)
(a)
0.2
0.0
0
60
30
Off-Normal Angle(o)
(b)
~-~
0.8
0.6
0.4
0.2
0.0
30
60
Off-Normal Angle(o)
(c)
1.0
0.8
0.6
0.4
0.2
0.0
0
30
60
Off-Normal Angle(o)
(d)
90
Figure 3.6. Surface elemental composition vs. off-normal angle of ion incidence. Polysilicon substrate was etched in Cl 2/Ar + plasma. a) E=160 eV, Neutral-to-Ion flux
ratio=3.5, b) E=160 eV, Neutral-to-Ion flux ratio=131, c) E=260 eV, Neutral-to-Ion flux
ratio=3.5, d) E=260 eV, Neutral-to-lon flux ratio=146. Dashed line is the experimental
data measured using XPS and Solid line is the kinetics modeling result at identical
condition.
Figure 3.7 compares the modeled angular curve for poly-silicon etching to
previous studies. Chang et al used 50 eV Cl + ions and a beam of C1 atoms to etch polysilicon. Vitale et al used 300 eV Cl+/Cl 2+ ions in chlorine plasma to etching poly-silicon.
In the modeling 260 eV Cl/Cl2+ ions were used with a beam of Cl/Cl2 atoms to compare
to their studies. In three sets of work, the surface is saturated with chlorine. It can be seen
that the modeling results are in very good agreement with the experimental data in terms
of normalized etching yields and the variation with respect to off-normal angle. The
similarity in the angular dependence at different operating conditions is encouraging, as it
implied that a single angular dependence yield curve can be used for a wide range of
chlorine plasma conditions, as long as the surface is saturated.
I
IA
0
S1.2
0
E 1.0
I-
2
0.8
- 0.6
w
0 .4
N
: 0.2
o
0.0
0
20
40
60
80
100
Off-Normal Angle(o)
Figure 3.7. Normalized etching yield vs. off-normal angle of ion incidence at saturation
regime. Dotted line is the experimental data measured by Chang et al, using 50 eV C1+
ions and a beam of Cl atoms. Dashed line is the experimental data measured by Vitale et
al using 300 eV Cl+/C12 + ions. Solid line is the modeling result in this paper using 260 eV
Cl+/Cl2+ ions. In three studies, the surface is saturated with adsorbed chlorine.
3.4
Conclusions
In this chapter the angular dependence was modeled within the framework of the
mixing-layer kinetics model. The angular curves were proposed for all ion-related
reactions based upon literature reports for the well-known reactions such as physical
sputtering and ion-induced etching. The angular curves for other processes lacking of
fundamental understanding such as vacancy generation were estimated using theoretical
calculation tools. The etching yield of poly-silicon etching in C12/Ar plasma was modeled
at different off-normal angles and operating conditions then compared to experimental
data at identical conditions. Results were quantitatively consistent with experimental data,
both at normal incidence and off-normal angles, suggesting the angular curves proposed
for all the fundamental reactions are accurate to account for the etching behavior at offnormal angles at various operating conditions. It also suggested that the rate coefficients
fitted to beam experimental data are also applicable at off-normal angles in actual gas
plasma conditions. With this angular dependence modeling, the mixing-layer kinetics
model is complete and can be used to explore the sidewall roughness in the 3-D profile
simulator.
3.5
References
1.
Z. Yu, L. Chen, W. Wu, H. Ge, and S. Y. Chou, J. Vac. Sci. Technol. B 21, 2089
(2003)
2.
M. D. Shumway, P. Naulleau, K. A. Goldberg, and Jeffrey Bokor, J Vac. Sci.
Technol. B23, 2844 (2005)
3.
B. Icard, L. Pain, V. Arnal, S. Manakli, et al, J. Vac. Sci. Technol. B 25, 124
(2007)
4.
A. P. Mahorowala and H. H. Sawin, J. Vac. Sci. Technol. B 20, 1064 (2002).
5.
W. D. Jin, S. A. Vitale, and H. H. Sawin, J Vac. Sci. Technol. A 20, 2106 (2002).
6.
W. D. Jin and H. H. Sawin, J. Vac. Sci. Technol. A 21, 911 (2003).
7.
D. C. Gray, I. Tepermeister, and H. H. Sawin, J Vac. Sci. Technol. B 11,
1243(1993).
8.
C. Steinbruchel, Appl. Phys. Lett. 55, 1960(1989).
9.
T. K. Chini, F. Okuyama and M. Tanemura, Phys.Rev. B, 67, 205403 (2003)
10.
J. J. Vajo, R. E. Doty and E. Cirlin, J Vac. Sci. Technol. A, 14, 2709 (1996)
11.
B. A. Helmer and D. B. Graves, J. Vac. Sci. Technol. A, 16, 3502 (1998)
12.
J. P. Chang and H. H. Sawin, J. Vac. Sci. Technol. B 19, 1319 (2001).
13.
J. P. Chang, A. P. Mahorowala, and H. H. Sawin, J. Vac. Sci. Technol. A 16, 217
(1998)
14.
W. Guo, B. Bai, H. Kawai and H.H. Sawin, J. Vac. Sci. Technol. A to be
published
15.
Y. Yin and H. H. Sawin, J Vac. Sci Technol. A 25, 802 (2007)
16.
W. Jin, S. A. Vitale, and H. H. Sawin, J. Vac. Sci. Technol. A 20, 2106 (2002)
17.
Y. Yin and H. H. Sawin, Ph.D. thesis, MIT, 2007
18.
P.Sigmund, in Sputtering by ParticleBombardment I, Topics in Applied Physics,
edited by R. Behrisch ( Springer, Berlin, 1981), Chap. 2, pp. 9-71
19.
C. F. Abrams and D. B. Graves, J Vac. Sci. Technol. A 16, 3006 (1998)
20.
S. A. Vitale, H. Chae and H. H. Sawin, J. Vac. Sci. Technol. A 19, 2197 (2001)
21.
K. Satake, and D. B. Graves, J. Vac. Sci. Technol. A 21, 484 (2003)
22.
J. F. Ziegler, TRIM introduction, http.//www.srim.org/
23.
N. Layadi, V. M. Donnelly, and J. T. C. Lee, J. Appl. Phys., 81 6738(1997)
4.
4.1
Profile simulation of SiOz surface roughness in C4Fs/Ar plasma
Introduction
Plasma etching is widely used for patterning contact holes and via holes.
1,2
Fluorocarbon plasmas are primarily used to etch oxide, which produce unsaturated
species (CF, CF 2, CF 3 , etc) leading to polymerization on the surface. 3-5 The etch gives
anisotropic profiles and leaves a roughened surface. In this chapter, profile simulation of
surface roughness on SiO 2 in the C4F8/Ar plasma. SiO 2 in fluorocarbon gas plasma was
chosen for a couple of reasons: first, no profile simulation has ever been attempted on this
system, neither 2-D nor 3-D simulation. The reason lies in the complexity with numerous
dissociated species and reactions involved and the simultaneous etching and deposition
caused by the fluorocarbon polymer formation. With respect to poly-Si in Cl 2 gas plasma,
it is much more difficult to develop a complete kinetics model to fully account for the
etching of oxide in fluorocarbon gas. Actually we haven't seen such a complete model
before our endeavor. Second, oxide is structurally similar to certain categories of low-k
materials, which are more commonly used industrially to lower the RC delay as features
size shrinks. However, low-k materials get roughened readily when they are subject to
etching due to the porosity and the weakened bonding strength. The significant amount of
roughening on low-k dielectrics has been observed experimentally and yet people failed
to disclose the convincing mechanism, nor to improve the roughness by tuning process
conditions. The simulation of surface roughening on oxide could potentially provide
insights to the roughening of low-k materials and improve the roughness by tuning their
properties.
In this work, the kinetics model for SiO 2 in the C4F8/Ar plasma was built based
upon the mixing-layer kinetics model discussed in Chapter 2 & Chapter 3. It is beyond
the modeling of beam scattering results in which the reaction mechanism is oversimplified with only one or two ion species involved. Instead, all the experimentally
measured ions and neutral species are included in the model simultaneously. By
assuming all ion species have equal reaction rates and applying the same assumption to
all neutral species, the reaction set was greatly simplified and the parameters were fitted
over a broad range of operating conditions. Angular dependence was included to take into
account etching at off-normal ion angles. Etching yield and surface composition were
modeled and compared to the experiments. Then the kinetics was incorporated into the 3D profile simulator. The roughening explored via simulation were compared with some
of the common trends in surface roughening experimentally observed in oxide etching
under C4F8/Ar plasma. This forms a good basis for understanding the roughening
mechanism.
4.2
Assumptions for the kinetics model of SiO 2 in the C4F8/Ar plasma
The difficulty for oxide etching in fluorocarbon plasma is the numerous species,
reaction pathways and the parameters to be fitted. Provided at the vast number of species
in the fluorocarbon plasma, the published beam scattering results are far from complete to
resemble the actual gas plasma. What's more, the fugacious variation of ion/neutral
composition with the operating conditions makes it difficult to combine beam results to
resemble the actual fluorocarbon gas plasma. Therefore, an additional assumption was
made in the mixing-layer kinetics model. For oxide etching in fluorocarbon plasma, we
assume all ion species have the same capability to react on the surface and all neutral
species have the same capability to react. The only difference across ion species is the
amount of materials they bring onto the surface. For example, Ar +, or CF +, CF 2+ are
equally reactive to produce physical sputtering product of Si and yet Ar + does not adsorb
on the surface, CF+ brings one carbon atom and one fluorine atom onto the surface, and
CF 2+ brings one carbon atom and two fluorine atoms onto the surface. The significance of
this assumption is that for one specific reaction, there is one universal rate coefficient for
all the ion species. Similarly there is one sticking coefficient for all the neutrals to be
adsorbed onto a specific site. The rationale of this assumption is all energetic molecular
ions dissociate into elementary species almost immediately when they adsorb onto the
surface and it is those elementary particles that collide with the target atoms and trigger
reactions. 6 kopper et a16 has observed CF 3+ dissociates into CF + and C+ fragments at low
incoming energies. They suggest this dissociation may occur almost instantaneously and
the threshold energy for the dissociation to occur is very low (-20 eV). All of those
support that these ion species have inherent similarity in the reactivity.
The advantage of this assumption is many folds: first, it greatly reduces the
number of reactions and rate coefficients to be determined with the universal rate
coefficient across ion species. With this assumption, there are no more than 20 rate
coefficients to be determined for oxide etching in total, as shown later. Second, this
allows us to include more experimental work in our model in addition to the beam
experiment. For example, the oxide etching in actual C4F8/Ar plasma can be used to fit
the parameters thanks to the capability of introducing as many ion/neutral species as
measured into the model. Another advantage of using results in actual gas plasma is
avoiding the linear combination of beam scattering results, which may not be indicative
of the convoluted fluorocarbon etching process. In addition to the etching yields, the
surface composition of substrates was measured by angular resolved X-ray photoelectron
spectroscopy (AR-XPS). These results will provide more physical intuition while
exploring the etching mechanism. However, the constraint or challenge of this
assumption is obvious: is this assumption valid or to what extent is this assumption
approximately true? This chapter will demonstrate that the assumption on universal
reactivity among species is the key to our oxide modeling in terms of efficiency and
accuracy. It is a promising methodology for convoluted etching process.
4.3
Surface Reactions and etching yield expressions
Yin et al 7measured the ion and neutral spectra in C4F8/Ar plasma at various beam
source pressure and DC bias levels using Mass Spectroscopy, which is shown in Figure
4.1. The fractions of those species were taken as inputs for the kinetics model. Ions
include C+, 0+, CO+, CF', CF, CF3+, C2 F4, C2 F,, C3 F3, C3 F, C4 F and neutrals
include C, O, CO, CF,CF2 , CF3 , C2 F4 , C2 F , C3 F3 , C3 F, C4 F3, SiF,SiF2 , SiF3 . Similar
fundamental reactions as for poly-Si etching in Cl 2 plasma were included such as ion
incorporation, neutral adsorption, physical sputtering, ion-enhanced etching, vacancy
generation, densification, dangling bond annihilation, spontaneous reaction and
recombination. Etching yield expressions were set up similar to what was discussed in
Chapter 2 for poly-Si etching in Cl 2 plasma. Coefficients were fitted to the etching yields
of oxide in C4Fs/Ar plasma at different conditions measured by Yin. A complete list of
the reactions and the corresponding coefficients for oxide etching is summarized in Table
4.1. Then the reaction rates were incorporated into the 3-D profile simulator as described
in Chapter 2. The substrate is SiO 2 thus the substrate cells are initialized as 10 Si particles
and 20 O particles, totaling 30 particles.
U,
c
up,
(a)
(b)
Figure 4.1. Ion and neutral spectra in C4F8/Ar plasma at various conditions. RF 400W,
DC 350 V. (a) Ion spectra, (b) Neutral spectra.
Table 4.1. Complete list of the reactions included in the kinetics model
Reactions
#
Parameters
Expression
A
1
Ion incorporation
I (g)
I(s)
2
Neutral adsorption
F(g) -
F(s)
3
Neutral adsorption
N(g) -
N(s)
4
Neutral adsorption
N(g) -* N(s)
5
Physical sputtering
Si(s) -- + Si(g)
6
Physical sputtering
O(s)-I
7
Phsical sputtering
8
Physical sputtering
Ion-enhanced
9
Rj = S, x G, x f
10
11
12
etching
Ion-enhanced
etching
Ion-enhanced
= SN on c x Jc-v x GN
c
on o = SN on ox JO-V x GN
IX
X
0.042
O(g)
RO_by_
-x xo X
0.018
C(s)
C(g)
RC_by
F(s)--
F(g)
RF by_
SiF (g)
=
I =
=
RSF/=
2 F(s)--- L
Si
IX
Xs X
0.009
I
XF X
0.023
6.75
sF XJ ,F
SiF
2 X JF-F
RF =
0
F (g)
20(s) ---
R = flo2 x
0 2 (g)
Si(s) + O(s) --
SiO(g)
etching
Ion-enhanced
13
RN o,
R
etching
Ion-enhanced
R F = SF x Js-v x G F
Rsi_by_ i -
Si(s) +2F(s) ----
Eth(eV)
C(s) +O(s) '- CO(g)
R
= p
0.22
JO-0
Ro = flsio x
20
i-o
0.007
20
0.24
x ]
etching
Ion-enhanced
15
15
etching
Ion-enhanced
16
etching
Vacancy creation
17
Densification
18
Annihilation
19
Recombination
Spontaenous
20etching
etching
a)
C(s) + 2 O(s) ---
0.95
CO2 (g)
RcF = /coF,
x jc-
C(s) + 2F(s)---- CF,(g)
RcF2
___4 V(s)
RV_by_!
V(s)
RdVby_I = d V_by_ X XV x G,
1+-
CV(s)+ C (s)---L-I C(s)
CF3(s)+ F(s)--- CF(g)
Si(s) + 4 F(s)--- SiF4 (g)
Rann
2
X C-F
= lV _by I x
=
Pc-v x
GI
x
0.14
1.66
10000
c-v
RCF, F = PCF, F X XFX
GcF
RsF = mF, xx,
2
0
G F 2.99*
10-5
I is ion, N is neutral.
100
0
S: C', O+, CO*, CF+, CF, CF , C2F , C2 F, CF , C3 F',C4 F
N: C, O, CO, CFCF,F 2 , CF3 , C2 F4, C2 F, C3F3 , C3 F , C4 F3 , SiF, SiF2 , SiF
b)
(g) and (s) mean the gas and solid phase, respectively.
c)
Coefficients are assumed, calculated or experimentally fitted. Physical sputtering were calculated from
equations
d) If single value is specified in coefficient column, no ion bombardment energy dependence is assumed
for that coefficient.
e)
4.4
f is the threshold adjustment factor which is zero for ion energies below the sputtering threshold energy
and one for greater energies.
Angular Dependence of Etching
Angular dependence is particularly important for the simulation of sidewall
roughness on real features subject to etching and the reason is ions come onto the
sidewall at glancing ion angles. The modeling of angular dependence for etching has
been discussed in Chapter 3 using poly-Si etching in C12 gas plasma and thus will be
discussed briefly here. Basically, the same approached were adopted by assigning angular
dependence curves as a multiplication factor to the reaction rate expressions to all the
ion-associated basis reactions. The overall etching rate is a linear combination of all the
individual basis reaction rates. Thereby, the variation of etching rate can be studied as a
function of ion incidence angle. Table 4.2 summarizes the angular dependence
expressions used for various reactions in modeling oxide etching and the reason for
choosing those angular curves were explained in Chapter 3 as well.
101
Table 4.2. Angular dependence expressions of physical sputtering, ion-induced etching
and vacancy generation used in the kinetics model.
Reaction.
Expression
Ion incorporation
f(O) = cos 0
Physical
Sputtering
f(O*) = -141.29cos 6 0 + 641.11 cos - 1111.3 cos 4 0
+ 944.63 cos 3 0 - 421.98 cos 2 0 + 95.3 Icos 2 0- 5.46
Ion-enhanced etching
If 0 < 25, f(0) = 1,
If 0 > 25', f(O) = (110 - 0) (0 - 25) * (0 - 90)
85
5000
Vacancy
Creation,
Creation,
Densification &
Annihilation
If 0 < 25
,
f(O) = 1,
(110-0)
If 0 > 250, f(0)= (110-0)
85
(0-25)*(-90)
(0-25)*(0-90)
5000
4.5
Kinetics modeling results and discussions
4.5.1
Modeling of SiO 2 etching in C4 Fs/Ar at normal ion incidence
The etching yields of SiO 2 in C4Fs/Ar were modeled and compared to the
measured values as shown in Figure 4.2. Note that the data cover a broad range of
operating conditions, the pressure ranging from 4-18 mTorr, the neutral-to-ion flux ratio
of 3-70 and DC bias level of 150-350 V. Most of the modeling results fall within +- 20%
of the measured etching yields at 150, 250, 350 V DC bias levels, which indicates the
model is able to capture the characteristics of oxide etching in fluorocarbon plasma over
that parameter span with quantitative agreement with experimental data. Also, this was
accomplished with -20 reactions and -20 fitted rate coefficients. The assumption of the
universal coefficient significantly simplifies the reaction mechanism and makes it
possible to model the complex process with manageable parameter set.
102
1.50
Experiment
S
N A Modeling
r
DC350 V
o 1.20
0.
N
SDC
V 0.90
250 V
-
0
"o
..
E
So.60
150 V
.DC
-
,l
C
.
A
A
2 0.30
0.w
I
I
0.00
0
10
20
30
40
50
60
70
80
Effective N-to-I ratio
Figure 4.2. Etching yield of oxide vs. neutral-to-ion flux ratio at various conditions.
Hollow dots are experimental data and filled dots are modeling results. C4Fs/Ar-10%20%, 4-18 mTorr beam source pressure.
Figure 4.3 shows a comparison of the modeled and experimental surface
composition of post-etch SiO 2 as a function of neutral-to-ion flux ratio at ion energy of
370 eV. The surface elemental spectra were measured by Angular resolved X-ray
photoelectron spectroscopy(AR-XPS) and the composition was obtained by calculating
the fractions of peak areas normalized with the sensitivity factors.8 According to the
experimental results, at 370 eV, Si, O, C and F account for 1/4 on the surface respectively
and remain stable with neutral-to-ion flux ratio from 5 to 38. This has been captured
qualitatively by the modeling. It can be seen that the model shows less C and F than the
experimental composition, due to the inhomogeneity of the polymerization along the
sampling depth in XPS technique. C and F are enriched in the sub-nanometer range of the
103
top surface where XPS takes samples from. In contrast, in the kinetics model it was
assumed C and F are homogeneously mixed with the Si and O regardless of the thickness
of the mixing layer. This mismatch in the composition has been observed and discussed
for poly-Si as well in Chapter 2. It actually supported the rationalization we proposed
before as consistent trends have been observed for both poly-Si and SiO .
2
I AA
I. UU
0.80
0
U,
o 0.60
E
0
U 0.40
4-
0.20
0.00
5
9
13
19
20
35
37
38
Neutral-to-Ion flux ratio
Figure 4.3. Surface composition of oxide after etching vs. neutral-to-ion flux ratio at DC
350V. Dash lines are experimental data measured by AR-XPS. Solid lines are modeling
results.
4.5.2
Modeling of SiO 2 etching at off-normal ion angles
The modeled angular dependence of oxide in C4F8/Ar plasma is shown in Figure
4.4. Three sets of data represent the numerical kinetics modeling results, the profile
simulation results with kinetics incorporated and the experimental data. The experimental
data were collected by Yin et al 7 in a high density ICP plasma chamber. The operating
104
condition of plasma was RF 400W, DC 350 V, beam source pressure of 4 to 18 mTorr
and off-normal ion angle of 0', 20', 400, 600, 750, 820. Figure 4.4 (a) is the etching yield
of SiO 2 as a function of off-normal ion angle at a neutral-to-ion flux ratio of 5. At normal
ion incidence, the measured etching yield is around 0.4 SiO 2/ion, the numerically
modeled etching yield is around 0.6 SiO 2/ion and the profile simulated etching yield is
about 0.6 SiO2/ion. The profile simulation falls closely with the kinetics at all off-normal
angles, proving the precise resemblance of etching yields within a cellular model.
Especially at off-normal angles, the etching yields are determined by the angular
dependence curve, which is a function of the ion incidence angle with respect to the local
surface normal direction. The precise replication of the etching yield in the 3-D simulator
also suggests the 3-D polynomial fitting is sufficient in dealing with etching at off-normal
angles. Etching yield increases with the off-normal angle and reaches a maximum at 650, which is a sputtering type of angular dependence. Figure 4.4 (b) is the etching yield
of SiO 2 at a neutral-to-ion flux ratio of 20. At normal ion incidence, the etching yield is
approximately 0.9 SiO 2/ion for both experiment and models. Note the etching yield is
higher than that at neutral-to-ion flux ratio of 5. At this polymerizing condition, both the
experimental and the modeling results show an ion-enhanced etching type of dependence,
dropping off gradually with off-normal angle. The transition of angular dependence from
sputtering to ion-enhanced etching indicated the underlying etching mechanism varied
accordingly. At low neutral-to-ion flux ratio, the surface is polymer-free, covered mostly
by Si and 0. Removal through sputtering is favored with products of Si and O atoms. In
contrast, ion-enhanced etching is slow as SiF 2 formation is rare. This analysis is
supported by the modeled surface composition as shown in Figure 4.5. At low neutral-to-
105
ion flux ratio, the surface is rarely covered by carbon and fluorine, unfavorable for ionenhanced etching removal, while at high neutral-to-ion flux ratio, the surface is more
polymerized, enabling the removal via ion-enhanced etching products.
1.5
1.2
0
O
0.9
0)
C
0.6
0.3
o.
wU
0.0
-0.3
0
30
60
Angle(degree)
1.5
m
1.2
-
-
Experimental data
Kinetics
Profile simu tion
0.9
0.60.3
0
-0.3
30
60
90
Angle(degree)
(b)
Figure 4.4. Etching yield of oxide vs. off-normal ion angle. It is in C4Fs/Ar plasma, RF
400 W, DC 350 V. Dots are experimental data. Solid line is the modeling result. (a) N-toI flux ratio= 5, (b) N-to-I flux ratio= 20
106
1.0
-Si-O-C-F
" 0.8
0
u0,
60.6
E
o
Si
S0.4
W 0.2
F
0.0
0
20
40
60
Off-Normal Angle (0)
80
(a)
1.0
-Si
C -F
----
C U.d
0
C 0.6
E
0
Si
S0.4
0.2
0.0
F
20
40
60
80
Off-Normal Angle (O)
(b)
Figure 4.5. Modeled surface composition of oxide after etching. It is in C4Fs/Ar plasma,
RF 400 W, DC 350 V, 10-20% C4F8/Ar, 4-18 mTorr beam source pressure. Dots are
experimental data. Solid line is the modeling result. (a) N-to-I flux ratio= 5, (b) N-to-I
flux ratio= 20
107
The match with the experimental data demonstrated the capability of this mixinglayer model in accounting for complex etching process such as oxide etching in C4F 8
plasma. In addition, the angular dependence term introduced into the etching yield
expression expands the model to the etching at off-normal angles, which is critical to the
3-D profile simulation that will be discussed later on. The transition of angular
dependence from sputtering to ion-enhanced etching as neutral-to-ion flux ratio increases
was captured, suggesting the mixing-layer model is able to account for the etching
process with the variation of neutral-to-ion ratio, ion energy and ion incidence angle.
4.6
Profile simulation of roughening on SiO 2 in C4F8/Ar plasma
4.6.1
Surface roughening of SiO 2 at different off-normal angles
SiO 2 surface was allowed to undergo C4F8/Ar plasma etching at various off-
normal angles of bombardment in the simulation. The contour of the surface was plotted
after etching to a depth of 80 nm, for an area domain of 250 nm by 250 nm shown in
Figure 4.6. The projected ion beam direction is shown by the arrows to the right of the
figure. The root mean square (RMS) roughness is also shown in the figure. The contour
surface plots show a very different morphology for each off-normal angle of ion
incidence. At normal incidence, the surface is smooth after etching and the RMS
roughness is 1.04 nm. Note that the cell size of the simulation is 2.5 nm so RMS is about
half a cell variation and statistical variation may have contributed to the RMS calculation.
The experimental RMS is 0.17 nm which is almost the same as prior-etch surface
roughness. At 200 off-normal angle, the surface also stays smooth and the RMS
roughness is 0.88 nm. The surface in experiment has a RMS of 0.17 nm, a little lower
108
than the simulation. 40' off-normal angle leaves a smooth surface and a RMS roughness
of 0.87 nm, comparable to 00 and 200. At all angles the surface exhibit an isotropic
pattern and mild features uniformly distributed on the entire simulation domain. That is
also observed in the experimental AFM images. At 60', ripples start to form
perpendicular to the ion beam direction, with the feature size of 50 nm long and 30 nm
wide. Experimentally, long and narrow waves form on the surface. The simulation
captured the qualitative trend with increasing off-normal angles. At 750, steeper ripples
form perpendicular to the ion beam and the RMS roughness also increases to 2.82 nm.
Experimentally the features are isotropic with a comparable RMS roughness of 2.78 nm.
At 820, striations form aligned with the ion beam direction and the RMS roughness drops
to 1.31 nm. Experimentally, long and narrow streaks form with mild amplitude and the
RMS roughness is 0.13 nm, much lower than the simulation.
To summarize, a general trend is simulated that an increase in the off-normal
angle of ion incidence results in changes in the surface morphology. There are at least
three regions: 1) the surface is relatively smooth without preferred orientation (0<600). 2)
development of ripples that are transverse to the ion beam direction (600<0<750). 3)
formation of streaks aligned with the ion beam direction (>750). The simulation captured
many of the trends observed in the experiments. This discussion holds true for a
particular amount of etching, which is 80 nm in this thesis. The evolution of surface
morphology and RMS roughness as a function of the etch amount have been simulated by
Kawai in her thesis 9 and the results showed that the ripples formed at an early stage of
etching are perpendicular to the direction of the ion beam, but transform into ripples that
109
are more aligned with the ion beam direction upon further etching. It will be discusses in
more details in this chapter.
Ion an le
Simulation
-C,,,,,:,,,~
- xperimen
.
RMS=O.1 7 nm
RMS=0.16 nm
75
Ion anqle
RMS=0 7 nm
82
41m
Simulatioi
4l-
Experiment
RMS=0.7 nm
RMS 2.78 nm
RMS=0.13 nm
Figure 4.6. Simulation of SiO 2 surface etched at different off-normal ion angles. The
etching chemistry is 10%C 4Fg/Ar, N/I=5, E=350 eV. The simulation domain is 250 nm
by 250 nm and the vertical scale is ±35 nm and the arrows define the ion beam direction.
Experimental AFM images were measured at identical operating conditions and the
sampling range is 1 [tm byl Rm. For both simulation and experimental 80 nm is etched.
The surface starts to roughen at 60' off-normal incidence, whereas in the previous cases
the surface remains smooth.
110
In order to further compare to the experimental results side by side, a 250 nm by
250 nm size of image was taken from the 1 tm byl [pm AFM images and re-plotted into
surface contour, as shown in Figure 4.7. The etching chemistry was 10%C 4F8/Ar, N/I=5,
E=350 eV and 750 off-normal angle in both simulation and experiment. The simulation
develops disconnected waves transverse to the ion beam direction. The amplitude of the
waves is 8 nm and the spatial frequency along the ion beam direction is 4 waves/250 nm.
Note that the edge of protrusion is sharp facing the direction of the ion incidence beam
while the shadowing side of the structure has a downhill slope with a gentile gradient
close to the angle of ion incidence. The RMS roughness is 2.8 nm in simulation and 3.2
nm in experiments, which are approximately comparable. On the right hand side, the
experimental topography also forms waves transverse to the ion beam and the wave is
shorter in length. The feature also has a sharper edge facing the ion beam and gentle
downhill on the shadowing side. The amplitude of the feature is about 8 nm in height and
the spatial frequency along the ion beam direction is 3-4 waves/250 nm. The waves in
experiments are slightly wider than those simulated. It can be seen that the surface
topography in simulation shows qualitative agreement with the experimental images,
with comparable amplitude, preferred orientation, morphology and spatial frequency.
The transition of ripple orientation from being perpendicular to parallel to the
beam direction with increasing off-normal angle of ion incidence has been observed for
the sputtering of both Si and metal surfaces.,' 11 The transverse striation was captured in
the simulator with the local curvature-dependent etching theory according to Bradley and
Harper. 12 In the late 1980's, Bradley and Harper applied Sigmund's theory of sputtering
111
to explain the dependence of the surface curvature on the local sputter yield. He proposed
that more energy is deposited onto a surface with a positive curvature than on a surface
with a negative curvature, and this results in a high sputtering yield at the bottom of
valleys than at the top of the hills on a given surface. The curvature-dependent etching
mechanism is shown in Figure 4.7. Kawai has incorporated this effect in the profile
simulation and tested using Ar sputtering of polysilicon. She obtained perpendicular
striations to the ion beam at high off-normal ion bombardment angles, which is
qualitatively consistent with the experiments. 9 Here by Figure 4.7 we demonstrated that
this curvature dependence is also significant for low-polymerizing fluorocarbon plasma
and SiO 2 substrate. Nevertheless, curvature dependence is initiated by ion bombardment
thus varies with the ion bombardment angle and the amount of neutral/ion ratios in the
gas phase. In case of high neutral-to-ion flux ratio where surface is exposed to neutrals
predominantly, curvature dependence is less important and perpendicular striations are
less likely to form. The surface patterns at high neutral-to-ion flux ratio will be compared
and discussed in the next section.
112
Simulation
Experiment
RMS=2.8 nm
RMS=3.2 nm
30
204
-20
-30
50
100
150
200
250
x (nm)
Figure 4.7. Comparison of simulated surface topography with experiment contour of the
same image dimension. The etching chemistry is 10%C 4Fs/Ar, N/I=5, E=350 eV, 750 offnormal angle. The simulation domain is 250 nm by 250 nm and the vertical scale is ±35
nm and the arrows define the ion beam direction.
Ions
faster
Figure 4.8. B-H model of curvature-dependent etching at off-normal ion incidence. When
the ions bombard the surface at off-normal incidence, the amount of energy deposited at
B is larger than at A because the distance from the center of energy distribution contour
to the point on the surface is clearly smaller for point B than for point A.
113
4.6.2
Surface roughening of SiO 2 at different neutral-to-ion flux ratios
Simulations of surface roughness were run at different neutral-to-ion flux ratios as
shown in Figure 4.9. The ion bombardment angle was 750 and the chemistry was 10%
C4Fs/Ar and E=350 eV. Figure 4.9 (a) shows at a neutral-to-ion flux ratio of 5, long
waves develop perpendicular to the ion beam after 80 nm is etched. The amplitude of the
waves is 8 nm and the RMS roughness is 2.8 nm. The perpendicular striation as
explained earlier is due to the curvature dependent etching. In Figure 4.9 (b) at a neutralto-ion flux ratio of 20, the surface still stays relatively smooth after 500 nm in depth is
removed. The surface is mostly isotropic with respect to the ion beam with a few streaks
along the ion beam. No obvious periodicity is observed in either direction. The features
are less than 5 nm in height and the RMS roughness is 2.0 nm, lower than the surface at
neutral-to-ion flux ratio of 5. The comparison demonstrated that our simulator is able to
capture the qualitative characteristics at different neutral-to-ion flux ratios. Both
simulations have been compared to the experimental observations and obtained good
agreement in terms of roughness levels and preferential orientations. The cause of the
smoothness at high neutral-to-ion flux ratio is hypothesized to be carbon and fluorine
coverage on the surface. According to the simulated surface composition in Figure 4.5, C
and F fraction on the surface increases with the neutral-to-ion flux ratio in the gas phase.
Thereby the higher extent of polymerization is expected on the overall surface at high
neutral fluxes. However, the fashion of polymerization needs to be explored, namely,
whether the polymer is deposited uniformly or selectively in local regions, and how this
is going to enhance the roughness. The surface composition and extent of polymerization
will be discussed in the next section to elucidate the roughening mechanism.
114
N/I=5
N/I=20
RMS=2.0 nm, 500 nm etched
RMS=2.8 nm. 80 nm etched
check n=1, RMS=2.835nm
25C
30
20C
20
15C
150
20
10
04
100
100
-104-
jk
51
-20
50
i
-30
50
100
150
200
250
I
x (nm)
x (nm)
(b)
(a)
Figure 4.9. Simulation of SiO 2 surface at various neutral-to-ion flux ratios. (a) neutral-toion flux ratio is 5, (b) neutral-to-ion flux ratio of 20. The etching chemistry is
10%C 4F8/Ar, E=350 eV, 750 off-normal angle. The simulation domain is 250 nm by 250
nm and the vertical scale is +35 nm and the arrows define the ion beam direction. At low
N/I flux ratio, curvature-dependent etching is dominant, forming striations perpendicular
to ion beam direction. At high N/I flux ratio, chemical etching is dominant, forming
isotropic topography.
4.6.3
Simulation of surface polymerization
In order to verify the correlation between the roughening and the surface
polymerization, the surface composition fraction contour was mapped out corresponding
to the surface topography shown in Figure 4.10. Figure 4.10 (a) shows the SiO 2 surface
etched at a neutral-to-ion flux ratio of 5 and at 750 off-normal angle in C4F8/Ar plasma.
It has severe roughening and perpendicular orientation to the ion beam direction. The
composition fraction of Si, O, C and F are shown in Figure 4.10 (b) corresponding to the
above surface topography. The vertical scale for Si and O is 0.5. It can be seen that the Si
and O each accounts for a fraction of 0.4 in coverage. C has a fraction of 0.08 and F has a
fraction of 0.08-0.14 varying among regions. The elemental fraction on the surface is
115
well consistent with the kinetics modeling results shown in Figure 4.5 (a) at identical
operating conditions, demonstrating the profile simulator has captured the full kinetics
not only the reactions rates but also the surface composition accurately. Once more the
quantitative agreement in the profile simulation shows the precise incorporation of the
kinetics. Of particular interest are the distribution of C and F on the surface and the
similarity of the shape of those regions to the roughening patterns. First, C and F
distribute approximately in the same area, forming polymer depositions. The polymer
island is 30 nm wide and 100 nm long, with a long axis perpendicular to the ion beam,
the shape of which matches with the features present on the surface. Moreover, the C/F
islands enrich around the roughened area, implying a correlation between the roughening
and the polymerization. As described before, the leading edge of the features is steep
facing the ion beam coming from the right and the shadowing edge tapers down to the
left along the ion beam direction, with the gentle gradient close to the angle of ion
incidence. As a contrast, fluorocarbon polymer islands in Figure 4.10 (b) are shifted to
the left of those features slightly. Thereby the location of polymer patchiness corresponds
to the shadowing side of the features, or in other words, C/F are enriched at the
downstream of the ion incidence. On the leading edge the surface is predominantly
covered by Si and O, with little C and F. The enrichment of C/F on the shadowing side of
features is due to the slowing down of etching on the downhill at grazing incidence angle.
The theoretical ion incidence angle is 750 with respect to the horizontal surface.
However, when ions come onto the shadowing side of the feature that is tapered along the
ion incidence, the actual ion angle is even greater than 750, close to 900. According to the
angular dependence curve shown in Figure 4.4, the etching yield drops off quickly at
116
glancing angles above 80' and therefore the etching is slowed down significantly on the
shadowing side of the features. In contrast, the edge facing the ion source is etched at or
close to normal angles of incidence, which results in a higher erosion rate and faster C/F
removal from the surface. It can be seen that multiple polymer islands form in local
regions leading to etching inhomogeneity. These polymer islands develop into polymer
micromasks and once formed, etch selectivity between the deposited polymer and the
underlying substrate leads to the formation of a "peaks and valleys" morphology that
roughens the surface. This simulation result is very exciting as it is the first time people
have simulated the distribution of polymers on the surface using a profile simulator and
correlated that with the surface topography. Before this researchers only had XPS data to
look at the composition in millimeter ranges on the surface and it did not help understand
the microscopic elemental distribution in nm ranges. By correlating the surface patterns
with the polymer distribution, this simulation provided tremendous insight to the
roughening mechanism.
117
check n=1.RMS=3.0706nm
30
20
10
4-
E
c
04
.1
-10
-20
30
x(nm)
(a)
2C
.5
0.45
0.4
1
22
14
0.35
O
O
-0.5
0 .45
0.4
15
3.35
5
10
x (nm
r'--
0.3
0.3
2.26
0.25
D.2
0.2
02
"7-25
20
0.1
P
s
10
(nm)
x
^4
u.,t
0.2
0.1B
0.18
0.16
0.16
0.14
0. 14
0.12
0.12
0.1
01
0.08
0.088
0.06
0.06
0.04
0.04
0.02
0.02
rl
0
x (nm)
u)
b
Figure 4.10. Simulation of composition fraction of post-etch SiO2 surface. The operating
condition of C4F8/Ar is N/I=5, E=350eV, 75" off-normal angle, 80 nm etched. (a) Postetch surface topography with ion flux come in from the right, and (b) Si, O, C and F
composition fraction contour corresponding to the topography in (a). The vertical scale is
0.5 for Si and 0, 0.15 for C and 0.2 for F. C and F deposit and form polymer islands,
corresponding to the roughened area, which supports the micro-masking induced
roughening mechanism.
118
This inhomogeneity of surface composition actually supported the micromasking
roughening mechanism proposed by Yin et al 13, as shown in Figure 4.11. They believed
the pores at the near-surface region capture fluorocarbon reactive species from the gas
phase and allow polymer deposition. Consequently, local carbon patches are formed and
shield the substrate from ion bombardment. Under processing conditions of high
selectivity, it has been observed that polymer is deposited on polymer surfaces while
etching occurs on the oxide surface. Under this particular condition, it is believed that the
polymer-rich regions form micro-masks that induce roughening. Rasgon, et a] supported
this mechanism experimentally. 14
They etched thermal silicon dioxide and low-k
dielectrics materials with various C/F ratios in the gas phase by tuning plasma chemistry
(C4 F 8 , C 2 F 6 , 02 addition, etc) and ion bombardment energy. When carbon is low in the
gas, the surface has no polymer coverage and is smooth. When the gas has an
intermediate carbon component, the surface is partially covered by fluorocarbon and
becomes roughened. At high carbon component in the gas, the surface is completely
covered by F/C polymer film and is again smooth. Further it was noted that the porous
ULK material exhibited a different behavior. The presence of pores allowed the diffusion
of fluorocarbon species into the pore structure and the surface roughness may increase
gradually with ion bombardment energy. Yin and Rasgon et al used this mechanism to
explain why porous ULK materials become rougher than solid OSG films in the identical
chemistry. 15 This mechanism can also explain why roughening only occurs with
appropriate amount of polymer deposition. Either too little or too much polymer would
push toward the extreme of net etching or net deposition, resulting in a smooth surface.
Micromasking as a roughening mechanism has been observed before. Fukasawa, et al
119
observed the cone-shaped defects during quartz etching and attributed it to micromasking
mechanism caused by particulates in the plasma.
16
Kong, et a] found micromask
roughness from stainless steel electrode sputtering during C2F6/0 2 etching of SiC.
17
Micromasking-induced roughening has even been exploited as a method to form
controllable rough SiC surfaces for enhanced LED performance and textured silicon
18
surfaces for cell attachment. ' 19
i
(a)
(b)
(c)
Figure 4.11. Pore filling seeds micromask formation on porous low-k film. (a) The
polymer fills into the pores, (b) Simultaneous etching of the porous low-k film forms
polymer micromasks even under conditions of high ion bombardment, (c) Selectivity
between the deposited polymer and the substrate roughens the surface.
The surface composition fraction was also simulated at a neutral-to-ion flux ratio
of 20 and at 750 off-normal angle in C4F8/Ar plasma shown in Figure 4.12. Figure 4.12
(a) shows the surface patterns after 500 nm is etched. The surface remains smooth
without preferential orientations to the ion beam direction. Figure 4.12 (b) shows the
composition fraction contour of Si, O, C and F corresponding to the surface topography
in Figure 4.12 (a). Si and O each has a coverage of 0.3 whereas C and F each has a
coverage of approximately 0.2. The magnitude of elemental composition is very close to
the kinetics modeling results shown in Figure 4.5, proving the profile simulator has
captured the overall kinetics with accuracy. The composition contour in Figure 4.12 (b)
(neutral-to-ion flux ratio of 20) is compared to Figure 4.10 (b) (neutral-to-ion flux ratio of
120
5) in order to see the effect of neutral-to-ion flux ratio. It is shown C and F fraction in
Figure 4.12 (b) are both higher than those in Figure 4.10 (b), indicating the extent of
polymerization increases when the surface is exposed to more neutrals. Another
interesting thins is the distribution of C and F on the surface. In Figure 4.10 (b) C and F
form polymer islands around the roughened area whereas in Figure 4.12 (b) C and F
distribute rather uniformly on the entire surface. The uniform distribution of polymers is
because the curvature-dependent etching that promotes the perpendicular orientation is
initiated by ion bombardment thus becomes less important at high neutral-to-ion flux
ratios. Thereby there is not intrinsic driving force to form perpendicular striations with
high neutrals. Moreover, the different etching mechanism leads to distinctively different
angular dependence effect. At low neutral-to-ion flux ratio, the etching is dominated by
physical sputtering and the angular dependence has a peak at 750 . When ions come in at
750 to the surface with small features, the feature etches more slowly than the horizontal
surface as the latter has the maximum etching yield and thus the features grows up. While
at high neutral-to-ion flux ratio, the etching is dominated by ion-enhanced etching and the
angular dependence drops off monotonically with ion angle. When ions come in at 750 to
the surface with small features, the features etch quickly than the horizontal surface as the
actual ion angle perceived by the feature is close to normal ion incidence. As a result, the
features become etched away and the surface retained the smoothness. In summary, the
high neutral-to-ion flux ratio and the ion-enhanced etching angular dependence
determined the smoothness of the surface.
121
check n=1,RMS=2.5272nm
50
100
150
200
250
x (nm)
o38
3,5'
F
02
0 18
0 16
0.14
0.12
01
108
006
004
0.02
0
x (nm)
Figure 4.12. Simulation of composition fraction on post-etch SiO 2 surface. The operating
condition of C4F8/Ar is N/I=20, E=350eV, 75" off-normal angle, 80 nm etched. (a) Postetch surface topography with ion flux come in from the right, and (b) Si, O, C and F
composition fraction contour corresponding to the topography in (a). The vertical scale is
0.5 for Si and 0, 0.2 for C and 0.2 for F. C and F deposit uniformly on the entire surface
and lead to a smooth post-etch surface with polymer passivation.
122
4.6.4
Statistical analysis of profile simulation
In order to test if the simulation is statistically significant, multiple random seeds
were chosen to run the simulation as shown in Figure 4.13, at identical operating
conditions with neutral-to-ion flux ratio of 5, ion energy of 350eV and at 750 ion
bombardment angle. The surface topography of 250 nm by 250 nm domain is plotted in 0
after 80 nm is removed from the surface. Streaks form perpendicular to the ion beam
direction in all cases, with the sharp edge facing the ion beam and tapering down
gradually on the shadowing side. The amplitude is about 8 nm in height and the spatial
frequency is about 4 waves/250 nm. The RMS roughness is very close with different
random seeds, as summarized in Table 4.3, approximately 3 nm with a standard deviation
of 0.13 nm. Therefore, the profile simulation shown in this chapter is reproducible
qualitatively and quantitatively.
checkn=l. RMS=3.0676nm
checkn=1.RMS=2.B672nm
check n=1, RMS=m35.
25
25
30
20
20
10
I5
IOC
10
>.
.10
-20
6
.30
. k-)m
x knm)
x (nm)
Figure 4.13. Roughening with different random seeds. The operating condition of
C4 F8/Ar is N/I=5, E=350eV, 750 off-normal angle, 80 nm etched. The simulation domain
is 250 nm by 250 nm and the vertical scale is +35 nm and the arrows define the ion beam
direction.
Table 4.3. RMS roughness with different random seeds. The operating condition of
C4 F8/Ar is N/I=5, E=350eV, 750 off-normal angle, 80 nm etched.
Run
1
RMS(nm)
3.07
2
3
4
3.07
2.82
2.87
123
5
2.84
StDev (nm)
0.13
4.7
Conclusions
In this chapter surface roughening of SiO 2 was simulated in a 3-D profile
simulator. The kinetics of SiO 2 etching in C4F8/Ar plasma was first developed based on
the mixing-layer model and the additional assumption of equal reaction rates among all
ionic or neutral radicals. All the ionic and neutral species experimentally measured were
taken as inputs and the etching yield were predicted over a range of neutral-to-ion flux
ratios and ion energies. Angular dependence on etching yield was also modeled to take
into account etching at off-normal angles. Then the kinetics was incorporated into the 3D simulator and the etching yield was calculated as a function of etching chemistry and
ion incidence angle. The good match was found between experimental and profile
simulation results in terms of etching yield and roughness level, suggesting the kinetics
after incorporation is able to predict complex surface chemistry such as oxide substrate
with fluorocarbon plasma without losing accuracy. SiO 2 surface roughness was simulated
as a function of ion bombardment off-normal angle and neutral-to-ion flux ratio then
compared with experimental observation. The features, preferential orientation with
respect to the ion beam, spatial frequency of the simulated surface showed a qualitative
match with the experimental measurements. The transition from coarsening to smooth
surface with the increase of neutral-to-ion flux ratio is captured and related to the extent
of polymerization on the surface. At low neutral-to-ion flux ratio, the modeled surface
composition contour confirmed the formation of polymer islands around the roughened
area, leading to etching inhomogeneity on the leading and shadowing side of features.
Thereby polymer patchiness in local regions enhanced the roughness and supported the
micromasking mechanism proposed previously based upon experimental roughness
124
observations. At high neutral-to-ion flux ratio, the simulation showed a higher extent of
polymerization and yet the polymer deposit fairly uniformly and result in a smooth
surface. The simulator provided insights to the local surface roughening on microscopic
basis.
4.8
References
1. Ohmori, T., et al., Appl. Phys. Lett., 83(22):4637-4639, (2003).
2. Joubert, O., G.S. Oehrlein, and M. Surendra, J. Vac. Sci. Technol. A, 12(3):665-670,
(1994).
3. Chae, H., S.A. Vitale, and H.H. Sawin, J. Vac. Sci. Technol. A, 21(2):381-387,
(2003).
4. Oehrlein, G.S., et al., J. Vac. Sci. Technol.A, 12(2):323-332, (1994).
5. Oehrlein, G.S., et al., IBM. J. Res. Dev., 43(1-2):181-197, (1999).
6. Koppers, W.R., et al., PhysicalReview B, 53(16):11207-11210, (1996).
7. Yin, Y., MIT, (2007).
8. Chang, J.P. and H.H. Sawin, J. Vac. Sci. Technol. B, 19(4):1319-1327, (2001).
9. Kawai, H., MITPhD thesis, (2008).
10.
B.Ziberi, F.Frost, and B.Rauschenbach, Phys.Rev.B, 72:235310, (2005).
11.
B.Ziberi, F.Frost, and B.Rauschenbach, J Vac. Sci. Technol. A, 24:1344, (2006).
12.
Bradley, R.M. and J.M.E. Harper, J. Vac. Sci. Technol. A, 6(4):2390-2395,
(1988).
13.
Yin, Y., S. Rasgon, and H.H. Sawin, J. Vac. Sci. Technol. B, 24(5):2360-2371,
(2006).
14.
Rasgon, S., PhD thesis, MIT, (2004).
15.
Yin, Y. and H.H. Sawin, J. Vac. Sci. Technol. A, 25(4):802-811, (2007).
16.
Fukasawa, T., T. Hayashi, and Y. Horiike, Jpn. J. Appl. Phys., Part I,
42(10):6691-6697, (2003).
17.
Kong, S.M., et al., J. Electron. Mater., 31(3)(2002).
18.
Franz, G., Mater. Sci. Semicond. Process., 5:525-527, (2002).
125
19.
Turner, S., et al., J. Vac. Sci. Technol. B, 15(6): 2848-2854 (1997).
126
5.
Etching kinetics and surface roughening of low-k dielectrics
5.1
Introduction
Plasma treatments have a profound impact on the surface modification of low-
dielectric-constant (low-k) materials.1-3 Low-k materials have been broadly used to
replace silicon dioxide as the ILD in the integration of ultra-large-scale integrated
circuitry in order to reduce the RC time delay. Two common low-k dielectric materials
are fluorinated silicate glass (FSG) and organosilicate glass (OSG); both are deposited by
plasma enhanced chemical vapor deposition (PECVD). 4, 5FSG has a silicon dioxide
matrix that is doped with fluorine. The fluorine-silicon bond has a lower polarizability
which leads to a decrease in the dielectric constant. There is a limit to the amount of
fluorine which can be added limiting the dielectric constant to -3.7. When too much
fluorine is included in the film, the excess fluorine can lead to adhesive failure. In OSG
materials, the silicon-oxygen network is interrupted by the presence of organic functional
groups, typically methyl (-CH 3) groups. These moieties open up the matrix of the OSG
creating well-defined pores. The porosity lowers the density and the dielectric constant
relative to a conventional PECVD SiO 2 . However, this porosity has potentially adverse
effects such as weakening the mechanical stability of the matrix, introducing a greater
degree of roughness on the surface, allowing a fast diffusion path, and initiating
undesirable patterning during processing. 6
Fluorocarbon plasmas are frequently used to pattern the low-k materials since
they etch oxide films selectively with respect to other films such as photoresist, metals,
and silicon. And yet the interaction of fluorocarbon species with these materials is not
127
well understood. A variety of parameters such as film properties (composition and pore
structure) and plasma processing conditions (neutral-to-ion flux ratio and ion/neutral
species composition, ion energy and ion incidence angle, etc) influence the etching
characteristics (etching rate, selectivity and surface morphology) of low-k materials. In
semiconductor fabrication, low polymerizing fluorocarbon plasmas are commonly used
to pattern low-k materials. Hua et al 7 found that low polymerizing discharges are more
likely to generate roughness on the surface since the CF coverage is low/thin thus
allowing the direct ion bombardment of the underlying oxide. Fluorocarbon species
dissociate, strike the surface and diffuse into the surface films readily, particularly when
the films are doped or porous. In this paper, we will focus on the influence of film
properties on the sidewall roughness of low-k dielectrics during plasma processing.
Specifically, through a comparison of surface roughness on dense and porous ULK at
identical plasma consitions, we will be able to address how the carbon content and
hardness affect the surface morphology.
In this work, the etching characteristics and surface roughness of a variety of lowk and ultra-low-k (ULK) materials at different plasma processing conditions were
experimentally investigated. The amount of roughening varied with the ion angles
significantly. Post-etch surface elemental composition was measured and compared for
all materials. Finally, a roughening mechanism was proposed.
5.2
Experimental procedure
128
5.2.1
Film Properties
Three different classes of low-k materials developed by Novellus Systems, Inc
were considered in this study, and their properties are summarized in Table 5.1. All of
these films were deposited in a Novellus Systems, Inc. VectorTM PECVD system using
one or more organosilane precursors and process gases such as helium, oxygen, nitrogen,
etc. CoralTM is a low-k material like OSG with a dielectric constant of 3.0. The remaining
films are categorized as either porous or dense ULK materials. The porous ULK material
is created by co-depositing a precursor with a porogen. The porogen molecule was
removed and cross-linking in the matrix is induced during a ultra-violet thermal
processing (UVTP) treatment using a Novellus Systems, Inc. SOLATM system. This
process both lowers the dielectric constant and enhances the mechanical properties. The
resulting film has a bimodal porosity with one set of small pores from the methyl
moieties and a second set of larger pores from which the porogen was extracted. Porous
ULK materials can reach dielectric constants as low as 2.1. The pore structure of porous
ULK materials is inherently connected which can be issue leading to significant damage
to the surfaces including sidewalls during wet or dry etch as well as photoresist ash
operations. The dense ULK is a compromise which has a lower limit for dielectric
constant at 2.5 but significantly enhanced resistance to damage because of its level of
pore connectivity is much lower than that of the porous ULK. These materials do not
make use of a porogen but may include a UVTP treatment to enhance the mechanical
properties by cross-linking of the matrix. Three dense ULK materials with similar
dielectric constant and thus porosity but a systematically varied methyl group
concentration were studied.
129
Table 5.1. Properties and calculated angular dependence ratios of low-k dielectrics.
Dielectric
Density
Hardness
Angular curve ratio
a = MAX(etching yield(O))
etching yield(0 ° )
CHxSi/SiO
Films
constant
(%)
(g/cm3 )
(GPa)
CoralTM
3.0
0
1.45
2.2
2.80
Porous ULK
2.5
0
1.1
1.4
2.83
Dense ULK I
2.64
3.3
1.34
0.7
3.2
Dense ULK II
2.64
5.3
1.3
0.3
3.5
Dense ULK III
2.63
7.9
1.24
0.4
4.2
5.2.2
Etching Process
The carbon films were etched in an inductively-coupled plasma chamber shown
in Figure 5.1. The plasma is generated in the upper part of chamber using 3-turn copper
coil to power the discharge. A typical radio-frequency (RF) matching network with L
configuration is used to maximize power transfer where the plasma density is sufficiently
high to couple primarily inductively to the plasma. The gas flow rate was 2-10 sccm
controlled by mass flow controllers. A ceramic/quartz liner was used to isolate
electrically the plasma from the wall enabling the plasma to be biased up to 400 V using
a metal electrode placed on the interior of the beam source chamber. A plasma beam was
extracted through a mesh of
/2inch
diameter consisting of 0.006 inch diameter holes with
a transparency of 27%. The beam passes through the lower chamber, in which the
pressure is maintained at 105-10 - 6 Torr by turbo pump and CRYO pump under typical
operating conditions and impinges on the sample suspended in this chamber. The ion
130
beam is charge compensated by electron emission from tungsten filament. Neutrals pass
through the grid with a cosine angular distribution and also impinge on the sample. The
sample stage is located below the neutralizing filament and can be rotated about its axis
to vary the beam incidence angle to the substrate surface. Sidewall roughening is
simulated by etching blank films at glancing angles.
Ceramic
10110 Storr
0 - 500
V
Line-ofsight Mass
spec
F~:iIl
tifr
I
Beam Space
Charge
Neutralization
e
Sample in
cryopumped
Gridded
Orifice,
Grounded
Extracted
Plasma Beam
Iow:lr chamber
Figure 5.1. Schematic of a newly designed beam chamber system. The beam source
locates at the upper part of the main chamber and the plasma is inductively coupled. This
beam system has the flexibility to control the plasma chemistry, ion bombardment energy,
and incident angle independently.
In this work the low-k dielectric and oxide films were etched in low polymerizing
fluorocarbon chemistry (0.2sccm C4 F8/2.6sccm Ar, or 7% C4 F/Ar). The plasma source
power was fixed at 400 W and DC bias was fixed at 350 V. The surface etching rates
were measured by determining the amount of material removed for a given ion dosage.
The ion flux was determined using a Faraday cup to measure the beam current in the
sample position. Ion dosage of 3*1017 ions/cm 2 was used for ion incidence angle less than
82'. For ion incidence angle at 820, the ion dosage was 1.5* 1017 ions/cm 2.
131
5.2.3
Characterization
A Tencor P-10 profilometer was used to measure the thickness of the low-k films.
A razor blade was used to scratch a fine line on top of the etched sample and a diamond
stylus is moved laterally across the line to measure the depth of the film. The thickness of
the silicon oxide film was measured by J. A. Woollam M-2000S rotating spectroscopic
ellipsometer. Ellipsometric psi (C) and delta (D) angles were measured over 225
wavelength channels, from 246 to 724 nm, at an incident angle of 650. The threeparameter Cauchy function was used to fit oxide thickness by relating the index of
refraction to the wavelength of light. The WVASE32 software developed by J. A.
Woollam Co., Inc. has been used to perform the actual regressions. The surface images of
low-k and oxide samples were acquired by AFM (ex situ Digital Instruments (DI) 3100)
under tapping mode with a standard etched Si probe over a scan area of 1"*1 m in the
trace and retrace directions. The image was accepted only when both the trace and retrace
scans were visually identical. Captured topographic profiles were subject to a zero-order
plane fit before calculating the RMS roughness with standard DI software.
5.3
Results and discussion
5.3.1
Angular etching yield
Figure 5.2 (a) shows the angular dependence curves for thermal silicon oxide,
CoralTM , and the porous ULK material. The thermal silicon dioxide has the lowest
etching yield at normal ion incidence, less than 200 A /(1017 ions/cm 2 ). CoralTM and the
porous ULK have a similar level of etching yield at around 250 A /(1017 ions/cm 2 ). At
elevated ion angles, the thermal silicon oxide film etches more slowly than both low-k
132
materials. The etching yield difference is largely due to the lower density of the CoralTM
and porous ULK materials. All of these films exhibit a sputtering-type of angular
dependence, in which the etching yield incrementing with ion angle up to 650. As
recognized widely 8 ' 9, the maximum etching yield around 65' in an angular etching curve
suggests physical sputtering whereas the monotonic decline of etching yield with respect
to ion angle corresponds to ion-enhanced etching. Therefore, the lower peak of thermal
oxide relative to low-k materials at 65" in Figure 5.2 (a) implies that a different etching
mechanism dominates in the case of the thermal silicon dioxide. The ratio of the
maximum etching yield versus the etching yield at normal ion incidence, namely,
EYMAx
EY(Oo)
was calculated for all films etched.
and the thermal oxide etching has a
greater
EYM
EY(0 0 )
EY
M
EY(0 0 )
ratios are summarized in Table I,
oAX
f 2.0. CoralTM and the porous ULK have a
EY
Ymx ratio of 2.80 and 2.83 respectively.
EY(0 0 )
Figure 5.2 (b) shows the angular dependence curves for three dense ULK
materials. The high-carbon ULK material (7.9% - CH 3) has the lowest etching rate at
normal ion incidence while the low-carbon ULK material (3.3% - CH 3) has the highest.
At high ion angles, the high-carbon ULK material has a consistently lower etching yield
than low-carbon dense ULK material suggesting additional carbon content in the film
limits the etching. The
EY
MA
EY(Oo)
ratio for the dense ULK materials are shown in Table
5.1, which are 3.2, 3.5 and 4.2 for 3.3%, 5.3% and 7.9% methyl group concentration
respectively.
EY
f
MAx for the dense ULK materials are greater than those for the thermal
EY(O ° )
133
silicon oxide as well as CoralTM and the porous ULK material. The greater
EY
MAX ratio
EY(Oo)
of dense ULK materials suggests that ULK materials etch principally by physical
sputtering. As these low-k and ULK materials were created by doping SiO 2 with carbon,
these etching characteristics suggest that carbon inclusion affects the relative significance
of ion-enhanced etching and physical sputtering on the surface. In the next few
paragraphs we would like to discuss how physical sputtering and ion-enhanced etching
are possibly influenced by the carbon inclusion in ULK films.
Sputtering occurs when the incident atoms come in with enough energy to
overcome the surface binding energy, displacement energy and lattice binding energy.
Inclusion of carbon may boom up the overall physical sputtering yield in a way of
lowering the bonding density per unit volume. The binding energies for Si-O, Si-C, and
Si-H bonds are 779.6, 447 and 293.3 kJ/mol respectively according to the Handbook of
Chemistry and Physics.1o It can be seen that Si-O bond has a much higher binding energy
than the Si-C and Si-H bond, suggesting Si-O bond is more thermally stable. In addition,
the overall energy needed to sputter an atom also depends on the number of bonds it
forms with adjacent atoms. For thermal oxide substrate, bulk silicon atoms form four
bonds with adjacent oxygen atoms. The separation, and consequently silicon atom
sputtering, can be achieved by multiple impacts of the incident ions with this silicon
atom. Finally, silicon atoms that are no longer bound to the bulk leave the surface and it
takes roughly four times of the Si-O binding energy to sputter it. Unlike silicon or oxygen
atoms, carbon atoms are introduced into the Si-O network as organic methyl (-CHx)
groups and alike. Methyl groups are loosely bound to the matrix by a Si-C bond with
three hydrogen terminal bonds. When a Si-C bond is cleaved open, methyl group is ready
134
to be sputtered from the surface. In other words, hydrogen atom can be sputtered together
with carbon atom without the energy needed to cleave off C-H bond. Thereby, less
energy is expected to sputter carbon atom, or more correctly, a methyl group here than
densely packed silicon and oxygen atoms. Carbon incorporation in the Si-O network
significantly weakens the mechanical integrity of the Si-O structure and makes the film
more easily sputtered. The surface binding energy was ignored in the above analysis as it
is typically low relative to the displacement energy and lattice binding energy together."
The analysis is believed to remain valid even when the surface binding energy
considered.
Ion-enhanced etching is believed to be affected by the low-k film composition in
two folds: the addition of carbon fraction and the decline of Si fraction. Ion-enhanced
etching relies on the dissociative adsorption such as CFx onto the active sites of the
surface . Flamm et al
2 found
when clean silicon is exposed to atomic fluorine, F atoms
quickly penetrate into the bulk for up to 5 monolayers and attack subsurface Si-Si bonds,
eventually releasing silicon in the form of SiF 2 and SiF 4 . Substrate etching starts once SiSi bond (or Si-O bond in the case of Si0 2) is cleaved open and Si atom interacts with
etchant thus the number of Si active sites during etching influences the ion-enhanced
etching rate. As for low-k dielectrics, the framework is inserted with carbon hence the
number of Si active sites in the low-k films per unit volume is lower than that of Si0 2 ,
which slows down the Si-Si bond cleavage thus the etching rate of low-k dielectrics. The
carbon fraction in the low-k skeleton suppresses the ion-enhanced etching via
polymerization effect. Coburn 13 used in situ Auger spectroscopy to analyze etched
surfaces of Si0 2 and Si. He found that carbon accumulation on Si is responsible for the
135
decline in Si etching rate when H2 is added to CF 4 . Oehelein et a 1 4 have also observed
fluoropolymer deposition suppresses the etching of Si and Si0 2 . XPS analysis showed
that both materials were covered by a fairly thick C, F film in the absence of net etching.
In the case of low-k dielectrics, methyl groups substitute a portion of Si atoms in the
oxide framework. When the low-k films are up to etching, the incorporated methyl
groups reduce the number of the available active sites for etchant, CFx to adsorb thus
slowing down the substrate etching. The carbon atoms are less capable of
accommodating CFx radicals and in addition, less effective in initiating ion-enhanced
etching of CFx products. Actually in our mixing-layer kinetics model to be published, the
ion-enhanced etching rate is significantly reduced once the surface is passivated by
polymer deposition.1 5 , while at the same time physical sputtering proceeds at almost the
same rate in terms of atom/Si ion.
5.3.2
Post-etch surface roughening on low-k dielectrics
The surfaces of all materials were fairly smooth prior to etching. AFM images of
the as received samples are provided in Figure 5.3. However, after etching the surface
roughening varied enormously with ion incidence angle. The materials tend to remain
smooth at normal or grazing ion incidence. 16 At intermediate angles, material-dependent
surface roughening occurs. Surfaces were most roughened when the ion incidence angle
was in the range of 40-75'.
136
1000
--
t.,
0
o0
SiO2
-B- Coral
-r-
Porous ULK
800 600
400
C
9-
.,,
200
20
40
6(0
80
100
Off-normal Angle()
(a)
1000
U,
C
r-
o
800
600
.0
I-
400
C
.)
200
w
20
40
60
80
100
Off-normal Angle()
(b)
Figure 5.2. Angular etching yields of low-dielectrics in the low polymerizing
10%C 4 Fs/Ar plasma. In all cases the plasma source power is 400 W, dc bias is 350 V,
beam source pressure level is 4mTorr. a) silicon dioxide, coralTM and porous ULK films,
b) dense ULK films with 3.3%, 5.3%, and 7.9% methyl group content.
137
I
(a)
(b)
Up,
Am
/1\
(a)
r \
(C)
pm
I
t'-
Figure 5.3. AFM images of low-k dielectrics before etching. (a)CoralTM film, RMS=
0.4nm, (b) porous ULK film, RMS= 0.47 nm, (c) dense ULK film with 3.3% methyl
group, RMS=0.60 nm. (d) dense ULK film with 5.3% methyl group, RMS=0.62 nm, (e)
dense ULK film with 7.9% methyl group, RMS=0.76 nm. The vertical scale of both
films is 15 nm.
138
Figure 5.4 (a)-(e) shows the AFM images for the CoralTM, porous and dense ULK
materials after etching at 400 off-normal ion bombardment angle in 7% C4Fs/Ar plasma at
a DC bias voltage of 350 V. At this intermediate ion angle, all films roughen slightly. The
CoralTM film has the lowest RMS, 0.53 nm after 122 nm is etched, slightly higher than
prior to etching. Porous ULK has a roughness of 0.62 nm after 120 nm is etched, close to
that of CoralTM. Dense ULK films are most roughened for the similar amount of material
etched relative to Coral and porous ULK. And the roughness varies the methyl group
content in the initial film. RMS is 1.06 nm for 3.3% methyl group film, 1.97 nm for 5.3%
methyl group film and 1.70 nm for 7.9% methyl group film. The increase of roughness
with methyl group content is mainly due to the porous structure induced by the methyl
group inclusion, which will be discussed later. On AFM images it can be seen that during
etching coral and dense ULK films form striations perpendicular to ion beam directions
at 400 ion angle, which is consistent with a number of previous observations in pure Ar
sputtering that transverse striations form at intermediate off-normal angle. 17-19 The
mechanism of the transverse striation lies in the curvature-dependent etching which will
also be discussed in later sessions.
139
N
(a)
19
(b)
E
I
(C)
1
JM
(d)
a
SI
It!
Figure 5.4. AFM images of low-k dielectrics after etching at 400 off-normal angle in
C4 F8/Ar discharge. The plasma source power is 400 W, dc bias is 350 V, beam source
pressure level is 4mTorr. Ion dosage is 3*1017 ions/cm 2 for all films. Ions reach the
surface from the upright direction. (a)CoralTM film, RMS=0.53 nm after 122 nm is etched,
(b) porous ULK film, RMS= 0.62 nm after 120 nm is etched, (c) dense ULK film with
3.3% methyl group, RMS= 1.06 nm after 110 nm is etched, (d) dense ULK film with
5.3% methyl group, RMS= 1.97 nm after 113 nm is etched, (e) dense ULK film with
7.9% methyl group, RMS= 1.70 nm after 80 nm is etched. The vertical scale of the image
is 15 nm.
140
Figure 5.5 (a)-(e) shows the AFM images for coral, porous ULK and dense ULK
films after etching at 750 off-normal in 7% C4Fg/Ar plasma. Etching at this high offnormal angle is intriguing as it provides insight into the sidewall roughness on a process
stack in real semiconductor fabrication. At 75", CoralTM tends to roughen more severely
compared to 400, with a RMS of 1.31 nm after 203 nm is removed. Porous ULK has a
comparable RMS of 1.25 nm after 182 nm is removed. In contrast, three dense ULK
films increase dramatically in roughness with carbon content in the film. 3.3% methyl
group dense ULK film has a roughness of 1.41 nm after 244 nm is removed. That is
comparable to the roughness level for CoralTM and porous ULK at identical condition.
For the 5.3% methyl group has a RMS of 4.58 nm after 228 nm is removed. 7.9% methyl
group film has a RMS is 12.6 nm, which is an order of magnitude higher than that on
3.3% methyl group film. Apparently 5% methyl group in the initial film causes film
property to change dramatically and result in large differences in the roughening
mechanism. As for surface morphology, CoralTM, porous ULK and dense ULK all exhibit
nanogrooves parallel to the ion beam direction. However, the amplitude of the feature
varies with films. For CoralTM, porous ULK film, and 3.3% methyl group film, shown in
Figure 5.5 (a-c), the height of the features is a few nanometers, within the range of the
contour (15 nm). However, 5.3% and 7.9% methyl group shown in (d-e), the large pillars
formed are much higher than 15 nm, which are out of the scale of the plot. 7.9% methyl
group dense ULK have many more large features with a height greater than 15 nm. The
facet angle on side of pillars approximates the ion incident angle. It is believed that the
ripples are not stationary but propagating laterally because the local angle of incidence on
the front side of the feature is the small and the local angle of incidence on the back side
141
is the large, As a result, the front side is sputtered more slowly than the back side,
inducing a lateral propagation of the ripples towards the ion beam. There are also similar
shaped and yet smaller pillars on the surface of 5.3% and 7.9% methyl group films, and
those smaller pillars likely increase in size as a function of time. The size and
morphology of the pillars are similar for both 5.3% and 7.9% methyl concentrations, and
it is actually the higher density of pillars on post-etch 7.9% methyl group concentration
that leads to the higher RMS level. In addition, the striations on all films are aligned
along the ion beam direction, which is different from that at 400 off-normal angle. That is
consistent with numerous other observations at high off-normal ion angles 17-19 and is
mainly due to the ion channeling and scattering at this high off-normal angle.
Figure 5.6 shows the AFM images for Coral, porous and dense ULK films after
etching at 820 off-normal in 7% C4Fs/Ar plasma. At this grazing angle, all films exhibit
much smoother surface compared to that at 750 . CoralTM has a RMS of 0.31 nm after 47
nm is etched. Porous ULK has a RMS of 0.77 nm after 47 nm is etched. Dense ULK
materials have RMS of 1.12 nm (3.3% methyl group), 1.82 nm (5.3% methyl group) and
2.86 nm (7.9% methyl group), respectively. Dense ULK films have slightly higher
roughness level compared to Coral and porous ULK films and that is consistent with
what was observed at 750. Nevertheless, the variation of the roughness among three
dense ULK films is not as significant as observed at 750. Roughness increases from 1.12
nm (3.3% methyl) to 2.86 nm (7.9% methyl). The smoothness of the surface on all films
is due to the low etching rate and the polymerization of surface at this grazing angle. All
films exhibit grooves parallel to ion beam directions due to ion scattering and channeling
and the grooves are much narrower than those at 750 off-normal angle.
142
~G~
;: %
N
[7
~.3
Pm
A
(b)
1
S(c)
"m
(d)
I
A
(e)
Figure 5.5. Surface AFM images of low-k dielectrics after etching at 750 off-normal
angle in C4F8/Ar discharge. The plasma source power is 400 W, dc bias is 350 V, beam
source pressure level is 4mTorr. Ion dosage is 3*1017 ions/cm 2 for all films. Ions reach
the surface from the upright direction. (a)Coral TM film, RMS= 1.31 nm after 203 nm is
etched, (b) Porous ULK film, RMS= 1.25 nm after 182 nm is etched, (c) dense ULK film
with 3.3% methyl group, RMS=1.41 nm after 244 nm is etched, (d) dense ULK film with
5.3% methyl group, RMS= 4.58 nm after 228 nm is etched, (e) dense ULK film with
7.9% methyl group, RMS= 12.6 nm after 195 nm is etched. The vertical scale of the
image is 15 nm.
143
(a)
.
(b)
'b
(a')
/
.
]
I
Mm
(c)
pm
(d)
n
IP\
Figure 5.6. Surface AFM images of low-k dielectrics after etching at 820 off-normal
angle in 7%C 4F8/Ar discharge. The plasma source power is 400 W, dc bias is 350 V,
beam source pressure level is 4mTorr. Ion dosage is 1.5*1017 ions/cm 2 for all films. Ions
reach the surface from the upright direction. (a)CoralTM film. RMS=0.31 nm after 47 nm
is etched, (b) porous ULK film, RMS= 0.77 nm after 47 nm is etched, (c) dense ULK
film with 3.3% methyl group, RMS=1.12 nm after 88 nm is etched, (d) dense ULK film
with 5.3% methyl group, RMS= 1.82 nm after 91 nm is etched, (e) dense ULK film with
7.9% methyl group, RMS= 2.86 nm after 75 nm is etched. The vertical scale of the image
is 15 nm.
144
5.3.3
Post-etch surface composition of low-k dielectrics
Surface composition of post-etch CoralTM, porous ULK, and dense ULK materials
were determined using X-ray photoelectron spectroscopy (XPS). Si, O, C and F signal
were measured and the peaks were integrated and normalized to their standard atomic
sensitivity factors. 12 Normalized peak areas were added up and the fractions of each
element were calculated relative to the summation of all peaks. The estimated surface
fractions are shown in Figure 5.7. The post-etch surface of CoralTM is shown in Figure
5.7 (a): Si accounts for 20% fraction on the surface and O 40%, the ratio of which is close
to the stoichiometric ratio of the bulk material. Furthermore, C accounts for less than
20% surface coverage and F about 20% coverage, indicating a low degree of
polymerization on the surface after etching. Furthermore, the surface composition is
essentially constant with respect to incident angle, suggesting the surface polymerization
is not affected by the ion bombardment angle appreciably. Figure 5.7 (b) shows the
surface composition for porous ULK film. C is a little less than 20% on the surface and F
is above 20% initially and drops gradually with ion bombardment angle. Si is 20% and O
is 40%, with a stoichiometric ratio between the two. The porous ULK film has similar
overall composition to the CoralTM film shown in Figure 5.7 (a) and that contributed to
the similar roughness level in two films at various off-normal angles as shown earlier.
Figure 5.7 (c) shows the surface composition of the 3.3% methyl group dense ULK.
There are 15% Si and about 25% O on the surface, roughly following the stoichiometric
ratio. The surface is predominantly covered by C and F, each accounting for about 30%
on the surface. C/F signal is much higher than those on CoralTM and porous ULK films,
suggesting the film suffered a significant amount of polymerization. As the incident angle
145
increases, the F coverage declines and C rises slightly due to the low etching rate and
excessive polymerization at high off-normal angle. Similar trends are observed in Figure
5.7 (d) and (e) for 5.3% and 7.9% methyl group dense ULK films, except that the surface
is predominately covered by carbon in the last two films. 5.3% methyl group film has a C
fraction of -40% on the surface. 7.9% methyl group film has a C fraction of -50%. All
fractions tend to be stable with respect to the ion angle expect for 7.9% methyl group film
at 750, the surface has over 70% carbon coverage. Meanwhile, silicon, oxygen, and
fluorine fractions all decrease as a result of polymerization. First of all, the post-etch
carbon coverage on all films is far more than the initial carbon content. For instance, the
initial carbon fraction ranges from 3.3% to 7.9%, and the post-etch carbon fraction ranges
from 30% to 70%. The drastic change in carbon coverage suggests a significant amount
of polymerization during etching. More importantly, if we correlate the roughness level
obtained from AFM images in Figure 5.5 with the carbon coverage during etching, it can
be seen that the roughness increases with the carbon coverage on the surface. For
instance, the 3.3% methyl group ULK film etching at 750 shown in Figure 5.5 (c) has a
roughness of 1.41 nm, and the corresponding C fraction on the surface is -30% in Figure
5.7 (c). While the 7.9% methyl group film etching at 750 shown in Figure 5.5 (e) has a
roughness of 12.6 nm and the corresponding C fraction on the surface is -70% in Figure
5.7 (e). Similar trends were found for CoralTM and porous ULK films as well. The
carbon-initiated roughening mechanism will be discussed in the next section.
146
0.8
Si
-- F
0
C
-
r 0.6
o
E
E
0.4
0.2
0
0
10
20
30
40
50
70
60
80
Off-normal Angle()
(a)
0.8
--- Si -5- 0 -
F ---
C
C
o 0.6
C,
E 0.4
0.2
0
0
20
60
40
80
100
(b)
Off-normal AngleC)
0 8
.. . .
- - -- -
.........
-. --..-
Si -W-O
F --
-
C
.0 0.6
O
0.
E
o 0.4
S0.2!
i
7r
0
30
60
Off-normal Angle)
147
90
(c)
..
tw
U.t-
-4-
Si -0-O --
F --
111^1
i
C
0 0.6
0in
CL
E
5 0.4
0
oC-)
/
U0
~ 0.2C,
0-
0
30
60
90
Off-normal Angleo)
(d)
0.8
.
I-4- Si-A-0 ---
F --K--C
S0.6
0
E
o 0.4
0.2
0
0
30
60
Off-normal Anale()
90
(e)
Figure 5.7. Surface composition fraction of low-k dielectrics after etching. (a) CoralTM
film. (b) Porous ULK film, (c) dense ULK film with 3.3% methyl group, (d) dense ULK
film with 5.3% methyl group, (e) dense ULK film with 7.9% methyl group.
As previously described, different low-k films have drastically varying roughness
level. Here we would like to discuss the impact of film properties on the roughness. Table
5.1 shows the major properties of CoralTM, porous ULK and dense ULK films. The
density of those films stays roughly constant and yet the hardness decreases appreciably
from Coral TM to porous ULK to dense ULK films. CoralTM has a hardness of 2.2 GPa,
porous ULK has a hardness of 1.4 GPa, and dense ULK has a hardness of 0.3-0.7 GPa
148
depending on the methyl group content. Particularly the 5.3% and 7.9% methyl group
dense ULK films, the hardness is only 0.3 and 0.4 GPa, which is only a 1/8 of the
hardness of CoralTM. Stiffness actually correlates with the micro-structural properties of
the material. Lin et al 2 demonstrated in OSG that the stiffness scale with the density of
networking bonds, i.e., the siloxane bond (Si-O) and the methylene bond (Si-CH 2). They
normalized the methylene bond for its lower bond strength: one Si-O bond counts for one
networking bond and one Si-CH 2 counts for 0.56 networking bond. Li et al
20 performed
finite element analysis to understand the effect of pore microstructure on the elastic
response. They demonstrated the stiffness depends on both porosity and pore morphology
and the stiffness of low-k dielectrics can be improved by modifying the pore shape and
minimizing pore interconnection. Although a quantitative relationship between the
hardness and the networking bonds, it is believed for the films used in this paper the
hardness also correlates with the networking bonds or porosity. The films with lower
hardness, namely, dense ULK films, have less amount of Si-O and Si-CH 2 bonds and
more pores compared to CoralTM and porous ULK films. Thereby dense ULK has a much
weaker framework attributed to the porous structure induced by the methyl group
insertion. The weak micro-structure contributes to the significant amount of roughening
developed on the dense ULK relative to other films. Porous ULK has the lowest density
among all films and the porosity is believed to be high. However, the surface roughness
is fairly low relative to the dense ULK films, which can be attributed to its high
mechanical hardness, 1.4 GPa.
The variation of roughness with ion incident angle has been shown in Figure 5.45.6. The ULK films were observed to remain smooth at both normal and grazing ion
149
incidence angles while roughen at intermediate ion angles. Qualitatively, the smoothness
at normal or near-normal ion angle can be attributed back to the sputtering-type of
angular dependence shown in Figure 5.2 at this plasma condition, in which the etching
yield at off-normal angle (e.g. 750) is greater than the etching yield at normal incidence.
If ions come onto the surface at normal incidence and the surface already has small facets
grown on it, the etching yield on the sides of facets is greater than that on flat surface.
Therefore, angular-dependent etching causes facets to shrink and the surface remains
relatively smooth. At high off-normal angle etching, the facets grow up as the etching
yield on the side of facets is less than that on the flat surface. At grazing angle, ion
scattering increases, yielding a less effective etching on flat surface and shadowing on the
back side of facets. At the same time, ion channeling occurs on the other dimension and
digging nano-grooves (dashed lines) parallel to ion beam.
Surface morphology of ULK films at different ion incidence angles is also
intriguing and involves fundamental physical theory. The striations perpendicular to the
ion beam direction at intermediate ion angle shown in Figure 5.4 (c-e) can be explained
by the dynamic competition between roughening (sputtering of atoms off the surface) and
smoothing (diffusion of defects). 21 Bradley and Harper et al 22 (B-H model) proposed a
model of ion-induced surface evolution by combining curvature-dependent sputtering
with surface relaxation via classical diffusion using the Herring/Mullins approach and is
shown mechanistically in Figure 4.8. Physically, it is based on Sigmund's model in which
the impinging ions deliver more energy to the surface in depressions relative to
elevations. The differentiated sputtering yields at low/high spots give rise to surface
destabilization and roughening. Kawai et al have included this curvature dependence
150
effect in a three-dimensional profile simulator. 23 Combining this mechanism with the
surface diffusion algorithm already embedded into the three-dimensional smoothing and
polynomial fitting, they captured the transverse pattern to ion beam at intermediate angle,
consistent with experimental observations for poly-Si etching in Ar sputtering and
thermal oxide etching in low-polymerizing fluorocarbon plasma. They demonstrated that
the B-H model is able to interpret the morphological pattern at intermediate ion angle.
The B-H behavior has been observed on various semiconductor surfaces such as Si, SiO 2,
C and GaAs surfaces. 24
27
At 75° off-normal ion angle and beyond, striations developed
along with the ion beam. These features can not be explained by the BH model as ion
scattering at high off-normal angles are ignored. It is widely accepted that ion scattering
and channeling cause the parallel structure at high ion angles. The pattern alignment at
75" and beyond can be attributed to the positional alignment of vacancy islands. Redinger
and Hansen et al conducted MD simulations for 5 keV Ar+ ion incident on Pt( 111) at 830
28,29.
They found some ions penetrate toward subsurface and small clusters of adatoms
and vacancies were created along the channeling path. After de-channeling and
coalescence of vacancy islands, superior pattern alignment and regularity are observed.
The surface roughening of the low-k dielectrics materials at high off-normal
angles can be explained by a polymer-induced micro-masking mechanism with a
competition between etching and deposition yielding smooth or rough surface depending
on ion bombardment and ion angle, as shown in Figure 4.11. Coburn and Winters
qualitatively plotted etching vs. deposition characteristics for fluorocarbon etching of
substrates as a function of the fluorine to carbon (F/C) ratio of the chemically active
plasma species. 30 They found that a transition from fluorocarbon polymer deposition to
151
etching occurs as F/C ratio increases. Similarly in the micromasking mechanism, it is
believed that certain local areas of the substrate are polymer deficient yielding net
etching, while others are polymer rich yielding net deposition. In other words, small
islands of polymers form on the surface and etch selectivity between the deposited
polymer and the substrate leads to the formation of "peaks and valleys" morphology that
roughens the surface. The micromasking roughening prevalent in low-k materials was
discussed by Yin et al 3 1. The pores at the near-surface region capture fluorocarbon
reactive species from the gas phase and allow polymer deposition. As a consequence,
local carbon patches are formed and shield the substrate from ion bombardment. Under
processing conditions of high selectivity, it has been observed that polymer is deposited
on polymer surfaces while etching occurs on the oxide surface. Under this particular
condition, it is believed that the polymer-rich regions form micro-masks that induce
roughening. Rasgon, et al supported this mechanism experimentally. 32 They etched
thermal silicon dioxide and low-k dielectrics materials with various C/F ratios in the gas
phase by tuning plasma chemistry (C4F8, C2F6 , 02 addition, etc) and ion bombardment
energy. When carbon is low in the gas, the surface has no polymer coverage and is
smooth. When the gas has an intermediate carbon component, the surface is partially
covered by fluorocarbon and becomes roughened. At high carbon component in the gas,
the surface is completely covered by F/C polymer film and is again smooth. Further it
was noted that the porous ULK material exhibited a different behavior. The presence of
pores allowed the diffusion of fluorocarbon species into the pore structure and the surface
roughness may increase gradually with ion bombardment energy. Yin and Rasgon et a]
used this mechanism to explain why porous ULK materials become rougher than solid
152
OSG films in the identical chemistry.
16
This mechanism can also explain why
roughening only occurs with appropriate amount of polymer deposition. Either too little
or too much polymer would push toward the extreme of net etching or net deposition,
resulting in a smooth surface. In this paper, the drastic variation of roughness with the
initial methyl group concentration supports the micro-masking mechanism as the methyl
group inclusion increases the number of free volume for carbon deposition.
Micromasking mechanism has been observed before as a roughening mechanism.
Fukasawa et al observed the cone-shaped defects during quartz etching and attributed it
to micromasking mechanism caused by particulates in the plasma. 33 Kong, et al found
micromask roughness from stainless steel electrode sputtering during C2F6/0
2
etching of
SiC. 34 Micromasking-induced roughening has even been exploited as a method to form
controllable rough SiC surfaces for enhanced LED performance and textured silicon
surfaces for cell attachment.35 ' 36
Finally, it needs to be pointed out that although the roughness of porous ULK in
this work outperforms the dense ULK groups at all operating conditions, porous ULK has
other issues, which makes it less attractive in the actual integration process. For example,
the diffusion of gas precursors into the open porosity of ULK during various steps of
integration, or the pore sealing process that booms up the ultimate k value after
integration. Porous ULK film often has poor adhesion to TaN/Ta bilayer Cu barrier films.
The removal of porogen involves various complicated chemical/electrical processes.
Therefore, it is of particular interest to explore the plasma damage on both dense and
porous ULK.
153
5.4
Conclusions
In this chapter the etching kinetics and surface roughening of CoralTM, porous
ULK and dense ULK were investigated as a function of ion incidence angle in a lowpolymerizing fluorocarbon plasma. Film composition had a significant impact on the
angular dependence: extra carbon in the film shifts the overall etching toward sputtering
more than ion-enhanced etching. The sidewall roughness was compared among CoralTM,
porous and dense ULK films at ion angles including 40', 750 and 820 off-normal angle.
The angular etching yield curve was used qualitatively to explain the surface being
smooth-rough-smooth as ion angle increases from normal to very grazing angle in low
polymerizing fluorocarbon plasma. Morphologically, all films stayed smooth after
etching below 400. At 400, striations form transverse to the ion beam direction and at 750,
striations are aligned to the ion beam direction. The transverse striation was attributed to
curvature-dependent sputtering or the B-H model according to which impinging ions
deliver more energy to the surface in depressions relative to elevations. The parallel
striation at higher ion angles was attributed to the ion scattering and shadowing effects.
At 750, the roughness was observed to be highly dependent on film composition and
post-etch RMS varied by one order of magnitude as the methyl group in the initial film
varied from 3.3% to 7.9%. Surface elemental analysis proved that a large amount of
carbon was present on the high-carbon ULK film after etching. Micromasking
mechanism is used to explain the roughening for low-k films.
5.5
References
1.
Sankaran, A. and M.J. Kushner, Applied Physics Letters 82(12):1824-1826,
(2003).
154
2.
Oehrlein, G.S., et al., Ibm JRes Dev, 43(1-2):181-197, (1999).
3.
Vitale, S.A. and H.H. Sawin, J Vac. Sci. Technol. A, 20:651-660, (2002).
4.
Maex, K., et al., J. Appl. Phys., 93(11):8793-8841, (2003).
5.
T. Usami, K. Shimokawa, and M. Yoshimura, Jpn. J. Appl. Phys., Part 1, 33:408,
(1994).
6.
Moore, D., et al., J. Vac. Sci. Technol. B, 23(1):332-335, (2005).
7.
Hua, X., et al., J. Vac. Sci. Technol. A, 23(1):151-164, (2004).
8.
Chae, H., S.A. Vitale, and H.H. Sawin, J. Vac. Sci. Technol. A, 21(2):381-387,
(2003).
9.
Chang, J.P. and H.H. Sawin, J. Vac. Sci. Technol. B, 19(4):1319-1327, (2001).
10.
Lide, D.R. 88th Edition (Internet Version 2008) ed. CRC Handbook of Chemistry
and Physics. 2007-2008: CRC Press/Taylor and Francis, Boca Raton, FL. 9-57.
11.
Meng Chen, G.R., Andreas Neuffer, Karl-Ludwig Barth, and Achim Lunk, IEEE
Trans.PlasmaSci., 26(6)(1998).
12.
Flamm, D.L., V.M. Donnelly, and J.A. Mucha, J. Appl. Phys., 52:3633, (1981).
13.
Coburn, J.W., J. Appl. Phys., 50:5210, (1979).
14.
Oehrlein, G.S. and Y.H. Lee, J. Vac. Sci. Technol. A, 5(4):1585 -1594, (1987).
15.
Guo, W., et al., to be submitted.
16.
Yin, Y. and H.H. Sawin, J. Vac. Sci. Technol. A, 25(4):802-811, (2007).
17.
Rusponi, S., et al., Phys. Rev. Lett., 81 2735 (1998).
18.
Brown, A.-D. and J. Erlebacher, Phys. Rev. Lett., 95 056101 (2005).
19.
Habenicht, S., et al., Phys. Rev.B, 60 R2200, (1999).
20.
Li, H., et al., J. Mater.Res., submitted(2008).
21.
Chan, W.L. and E. Chason, J. Appl. Phys., 101 121301, (2007).
22.
Bradley, R.M. and J.M.E. Harper, J. Vac. Sci. Technol. A, 6(4):2390-2395, (1988).
23.
Kawai, H., W. Guo, and H.H. Sawin, to be submitted.
24.
Erlebacher, J., et al., Phys. Rev. Lett., 82:2330 (1999).
25.
Umbach, C.C., R.L. Headrick, and K.C. Chang, Phys. Rev. Lett., 87:246104
(2001).
26.
Habenicht, S., et al., Phys. Rev. B, 60:R2200 (1999).
27.
Yamada, I., et al., Mat. Sci. Eng., R. 34:231, (2001).
28.
Hansen, H., et al., Phys. Rev. Lett., 92(24):246106, (2004).
29.
Redinger, A., et al., Phys. Rev. Lett., 96(10): 106103, (2006).
30.
Coburn, J.W. and H.F. Winters, J. Vac. Sci. Technol., 16(2):391-402, (1979).
155
31.
Yin, Y., S. Rasgon, and H.H. Sawin, J. Vac. Sci. Technol. B, 24(5):2360-2371,
(2006).
32.
Rasgon, S., PhD thesis, MIT, (2004).
33.
Fukasawa, T., T. Hayashi, and Y. Horiike, Jpn. J. Appl. Phys., Part I,
42(10):6691-6697, (2003).
34.
Kong, S.M., et al., J. Electron. Mater., 31(3)(2002).
35.
Franz, G., Mater. Sci Semicond. Process., 5:525-527, (2002).
36.
Turner, S., et al., J. Vac. Sci. Technol. B, 15(6): 2848-2854 (1997).
37.
Yin, Y., MITPhD thesis, (2007).
38.
Kawai, H., MITPhD thesis, (2008).
39.
B.Ziberi, F.Frost, and B.Rauschenbach, Phys.Rev.B, 72:235310, (2005).
40.
B.Ziberi, F.Frost, and B.Rauschenbach, J Vac.Sci. Technol.A, 24:1344, (2006).
156
6.
Conclusions and Future Work
6.1
Conclusions
A generic kinetics model was developed to account for plasma-surface
interactions. The model was based on the assumption of mixing-layer on top of the
substrate in which all reactions take place. The model was able to handle both etching
and deposition by conserving the mass in the mixing layer and moving the layer up or
down. The basis reaction set was proposed and the rate coefficients were fitted to a large
number of beam scattering experimental data. The model was tested using polysilicon
etching in chlorine gas plasma. Then it was incorporated into the 3-D Monte Carlo profile
simulator with a cell-based representation. The concept of mixing layer was kept by
averaging cell composition in local regions. The etching yield and surface composition
were calculated in 3-D simulator and compared to those in the numerical kinetics model.
The good match between the profile simulation and the kinetics modeling results verified
the capability of incorporating complex chemical processes into our 3-D simulator.
The modeling of angular dependence on etching yield was developed based on the
mixing-layer kinetics model. All the rate coefficients fitted at normal ion incidence were
kept constant without any further optimization. Angular dependence factor was
introduced as an additional term to the etching yield expression developed previously in
the model. The angular curves were adopted from literatures for well understood
processes such as physical sputtering and ion-induced etching. The angular curves for
processes lack of understanding such as vacancy generation were estimated using
theoretical tools. The overall etching yield was calculated with a combination of
157
individual etching yields at different off-normal ion angles. The etching yield of polysilicon etching in C12/Ar plasma was modeled at different off-normal angles, ion energies
and neutral-to-ion flux ratios. The modeled etching yield results were quantitatively
consistent with experimental data, both at normal incidence and off-normal angles,
indicating the angular curves proposed for all the fundamental reactions are accurate to
account for the etching behavior at off-normal angles at various operating conditions. It
also suggested that the rate coefficients fitted to beam experimental data are also
applicable at off-normal angles in actual gas plasma conditions. With modeling of the
angular dependence on etching yield, the kinetics model is complete and can be used to
explore the surface roughness in the 3-D profile simulator.
The roughening of the SiO 2 surface in fluorocarbon plasma was explored using
the 3-D Monte Carlo profile simulator. The kinetics of SiO 2 etching in C4F8/Ar plasma
was developed based on the mixing-layer model and the additional assumption of equal
reaction rates among all ionic or neutral radicals. All the ionic and neutral species
experimentally measured were taken as inputs and the etching yield were predicted over a
range of neutral-to-ion flux ratios and ion energies. Angular dependence on etching yield
was also modeled to take into account etching at off-normal angles. Then the kinetics was
incorporated into the 3-D simulator and the etching yield was calculated as a function of
etching chemistry and ion incidence angle. The good match was found between
experimental and profile simulation results in terms of etching yield and roughness level,
suggesting the kinetics after incorporation is able to predict complex surface chemistry
such as oxide substrate with fluorocarbon plasma without losing accuracy. SiO 2 surface
roughness was simulated as a function of ion bombardment off-normal angle and neutral-
158
to-ion flux ratio then compared with experimental observation. The features, preferential
orientation with respect to the ion beam, spatial frequency of the simulated surface
showed a qualitative match with the experimental measurements. The transition from
coarsening to smooth surface with the increase of neutral-to-ion flux ratio was captured
and related to the extent of polymerization on the surface. At low neutral-to-ion flux
ratio, the modeled surface composition contour confirmed the formation of polymer
islands around the roughened area, leading to etching inhomogeneity on the leading and
shadowing side of features. Thereby polymer patchiness in local regions enhanced the
roughness and supported the micromasking mechanism proposed previously based upon
experimental roughness observations. At high neutral-to-ion flux ratio, the simulation
showed a higher extent of polymerization and yet the polymer deposit fairly uniformly
and result in a smooth surface. The simulator provided insights to the local surface
roughening on microscopic basis. It can be expanded to study complex substrates,
chemistries, and deposition process or real features.
6.2
Future work
The kinetics model can be expanded to more complex materials such as SiOCH
low-k dielectrics and photoresist and processes such as deposition, with the experimental
etching behavior measured in advance. By incorporating the surface kinetics into the 3-D
simulator, the roughening of various dielectric materials can be simulated. The surface
composition can be further explored to disclose the roughening mechanism. Detailed
experimental work can be done to analyze the microscopic surface elemental distribution
in nanometer scale on etched substrates. The simulator has a capability of varying initial
159
features such as holds or multi-layer stacks. It would be interesting to see how the LER is
transferred through multiple dielectric layers.
160