Document 10462578

advertisement
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
IEEE TRANSACTIONS ON NUCLEAR SCIENCE
1
Ionizing Radiation Effects on Nonvolatile Memory
Properties of Programmable Metallization Cells
J. L. Taggart, Student Member, IEEE, Y. Gonzalez-Velo, Member, IEEE, D. Mahalanabis, Student Member, IEEE,
A. Mahmud, Student Member, IEEE, H. J. Barnaby, Senior Member, IEEE, M. N. Kozicki, Member, IEEE,
K. E. Holbert, Senior Member, IEEE, M. Mitkova, Senior Member, IEEE, K. Wolf, Student Member, IEEE,
E. Deionno, and A. L. White
Abstract—The impact of ionizing radiation on the retention and
endurance of programmable metallization cells (PMC) ReRAM
cells is investigated and presented for the first time, with additional work on resistance switching. This study shows that
gamma-ray exposure has a minimal effect on the retention of PMC
),
devices, up to a total ionizing dose (TID) of 2.8 Mrad (
the maximum TID level tested. The retention of both high resistance states (HRS) and low resistance states (LRS) during exposure was tested. Endurance appears to be slightly reduced with
gamma-ray exposure. The endurance was tested to maximum TID
of 4.62 Mrad (
). DC response characterizations were
also performed on PMC devices after cumulative dose exposures
with 50 MeV protons and 100 keV electrons. The data show that
PMCs are most sensitive to proton irradiation incident from the
backside of the device. For the electron exposures, it is shown that
the LRS is mostly unaffected, but the HRS drifts to lower resistance values with an increase in radiation exposure.
Index Terms—CBRAM, chalcogenide, conductive bridging
RAM, endurance, ionizing radiation, memory, nano-ionic memory,
non-volatile, PMC, programmable metallization cell, ReRAM,
retention, total ionizing dose.
I. INTRODUCTION
P
ROGRAMMABLE metallization cells (PMCs) [1] are a
novel type of nano-ionic resistive random access memory
(ReRAM) [2], [3]. They are recognized as one of the most
promising alternatives to Flash technology for nonvolatile
memory applications [4]. PMC devices also exhibit properties
that suggest their suitability for neuromorphic computation
[5], [6]. It has been shown that the basic functionality of
most ReRAM technologies (i.e., both cation based and anion
based) is largely unaffected by exposure to ionizing radiation,
Manuscript received July 11, 2014; revised September 03, 2014; accepted
October 03, 2014. This work was supported in part by the Defense Threat Reduction Agency under Grant HDTRA1-11-1-0055, in part by the Air Force
Research Laboratory Det 8/RVKVE under Grant FA9452-13-1-0288, in part
by The Aerospace Corporation’s Independent Research and Development Program, and in part by Sandia National Laboratories.
J. L. Taggart, Y. Gonzalez-Velo, D. Mahalanabis, A. Mahmud, H. J. Barnaby,
M. N. Kozicki, and K. E. Holbert are with the School of Electrical, Computer
and Energy Engineering, Arizona State University, Tempe, AZ 85287-5706
USA (e-mail: jennifer.taggart@asu.edu).
M. Mitkova and K. Wolf are with the Department of Electrical and Computer
Engineering, Boise State University, Boise, ID 83725 USA.
E. Deionno and A. L. White are with The Aerospace Corporation, Los Angeles, CA 90009-2957 USA.
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org.
Digital Object Identifier 10.1109/TNS.2014.2362126
even at high total dose levels [7]–[10]. In the case of PMCs,
previous works have demonstrated that dc resistance switching
gamma-ray exposure to total ionizing
is maintained after
) [9], [10]. A
dose (TID) levels as high as 10 Mrad(
recent study also presented the effects of ionizing radiation on
data retention in a 128 kbit conductive bridge random access
memory (CBRAM), a commercial variant of PMCs [11], [12],
but only to a TID up to 5 Mrad(Si) [13], [14]. In this work we
report for the first time the impact of high levels of ionizing
gamma-rays) on PMC data retention and cyradiation (
cling endurance. Investigations of the dc resistance switching
response of PMCs are also expanded to a broader class of
ionizing particles (i.e., electrons and protons).
In the results and discussion section, we present data showing
gamma-rays exposure on memory retention
the impact of
in the PMC’s low resistance state (LRS) and high resistance
state (HRS). The results of endurance testing on gamma-irradiated PMCs are also reported. Finally, the resistance switching
response of PMCs exposed to 100 keV electrons and 50 MeV
protons are presented. The experimental results reveal that none
of the critical specifications of PMCs are drastically modified
by ionizing radiation exposure, which further demonstrates the
high level of radiation tolerance in this technology. Some of the
radiation-induced effects observed in the endurance testing are
examined in more detail in order to understand the broader impact of irradiation on actual memory arrays.
II. DEVICE STRUCTURE AND OPERATION
A. Technology Overview
PMC devices are a simple stacked structure. The device
consists of a thin film of nickel as the bottom inert electrode
(cathode), interfaced to a solid-electrolyte layer of chalcogenide
) photo-doped with silver and a thin
glass (amorphous
layer of silver for the top active electrode (anode). The silver
contact is partially covered with aluminum to ensure good
contact when probing or packaging the devices. A micrograph
and cutaway diagram of the layers is shown in Fig. 1. This
technology relies on oxidation and reduction reactions to form a
conductive silver filament through the electrolyte layer [1]–[3].
When a positive bias is applied to the top active electrode, the
silver is oxidized (loses electrons), creating silver cations that
, and reduce (gains electron) on
migrate through the
the inert nickel (grounded) contact. In a short amount of time
ns), a conductive filament composed of metallic bonded
(
silver will form to complete a low resistance connection to the
0018-9499 © 2014 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
2
Fig. 1. (a) Micro photograph top view of PMC device with a circular
m diameter via size. (b) A cross sectional diagram shown at the cut line highlighted.
The cross section shows the deposited layers of Ni cathode, ChG (Ag doped
) via and Ag anode. (c) Microphotograph of crossbar structure.
silver top layer [Fig. 2(c)] [15]. The resistance of the filament
can be further decreased by applying a bias until a specified
compliance current is reached. The larger the compliance
current, the thicker the filament [16], [17]. To dissolve the
silver filament, a negative bias is applied to the top active
contact with respect to the inert contact. Under this condition,
the silver is oxidized, and migrates back to the active silver
contact, resulting in a high resistance across the device. The
process of filament creation and dissolution is illustrated in
Figs. 2(a)–2(f). When considering memory applications, the
programmed low resistance state (LRS) can represent one bit
value while the high resistance state (HRS) represents another.
PMC devices can be programmed by sweeping the active
contact voltage to a positive value and erased by sweeping to
a negative value. The programmed LRS is controlled by lim), the
iting the current. The higher the compliance current (
thicker the filament, resulting in a resistance lower than that of
a filament formed at a lower current compliance. A typical current-voltage (I-V) curve is shown in Fig. 3. The resistance state
of the device can be measured by applying a small voltage (less
than the programming threshold) and measuring the current.
B. Device Fabrication
The results presented in this paper are obtained on three different batches of PMCs, all manufactured in the NanoFab facility at Arizona State University. The fabrication process starts
on a silicon wafer
by depositing 100 nm insulating layer of
IEEE TRANSACTIONS ON NUCLEAR SCIENCE
Fig. 2. (a)–(d) The process of filament formation when a positive bias is applied
between the anode and cathode. (e)–(f) The process of dissolving the filament by
applying a reverse bias. (a) HRS–Positive bias applied across anode to cathode.
ions migrates through ChG. (b) HRS–
ions migrate to Ni and reduces
by gaining an electron from the cathode. Filament begins to form. (c) LRS–Increasing bias past threshold, pushes enough Ag through ChG to complete the
conductive filament. (d) LRS–Setting the compliance current controls the filament thickness/low resistance value. (e) HRS–Appling a reverse bias past the
ions into the
erase threshold oxidizes the Ag in the filament and pulls the
Ag top layer. (f) HRS–Devices returns to HRS once the Ag fillament is dissolved.
Fig. 3. Typical current-voltage (I-V) curve for a PMC device. The red (circle
marker) line shows the I-V characteristic for forming (setting) the conductive
filament. The black (square marker) line is the I-V characteristic when dissolving
(erasing) the Ag filament. The section of the plot marked with star markers is
the low resistance state (LRS) whereas the horizontal section of the curve near
0 A is the high resistance state (HRS). The resistance of the PMC device during
the I-V sweep is marked by the dashed blue line.
using a TorrVac VC-320 electron-beam evaporator. A 100 nm
thin-film of nickel is evaporated without braking vacuum in the
TorrVac. For the devices used during retention testing, the wafer
is removed from the TorrVac and the nickel layer is etched to
form dog bone style cathode contact bars. The batches used
during endurance testing and resistance switching testing have
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
TAGGART et al.: IONIZING RADIATION EFFECTS ON NON-VOLATILE MEMORY PROPERTIES OF PROGRAMMABLE METALLIZATION CELLS
a continuous, unaltered, thin-film layer of nickel as the cathode
contact. After nickel deposition and etching, the wafer is placed
is deposited and then
back into the TorrVac and 100 nm of
etched to form vias to the cathode contact. The wafer is then
placed in a Cressington 308 thermal evaporator where 60 nm
followed by 30 nm of silver film is deposited. The
of
wafer is removed from the Cressington and placed under a UV
(
nm,
mJ cm ) lamp for 1 hour to photo-dope the
chalcogenide layer with the 30 nm of silver. After doping, the
wafer is placed back into the Cressington where an additional
35 nm of silver is deposited to create the device anode. In the
final steps, a total of 800 nm of aluminum is deposited using the
TorrVac to create the contact pads for the anode and cathode. For
the crossbar style devices used during retention testing, 400 nm
of aluminum is first deposited and lifted off to create the top dog
bone contact with an additional 400 nm of aluminum deposited
on the contact pads at the ends of the nickel and aluminum dog
bones.
The results presented on the resistance switching capabilities
were obtained on the same batch of devices used in [9]. The cycling results were obtained on devices from a different, more recent batch with a postprocessing annealing performed at 80
for 1 hour. The crossbar devices were annealed in air at 120
for 20 minutes.
3
Fig. 4. Endurance test set-up of the PMC. The input and output voltage signals
were monitored with an oscilloscope.
III. EXPERIMENT SETUP
A. Memory Retention After –Ray Exposures
During a retention test, the value of the programmed resistance state is measured periodically to investigate the
nonvolatility of the memory cell. The devices were packaged
open top, with no lid. Retention testing after TID exposure was
conducted on three PMCs programmed in the LRS and five
PMCs erased into the HRS. The four devices were programmed
into a LRS by sweeping the voltage at the anode of the PMC
A
from 0 V to 0.5 V and limiting the maximum current to a
compliance, as shown in the “Set” curve in Fig. 3. The five
devices in HRS were erased by sweeping the voltage across the
anode to cathode from 0 V to 0.5 V as shown in the “Erase”
curve in Fig. 3. Two control devices were programmed to a
A compliance and were not exposed to
LRS limited with a
gamma radiation. Another two devices were used as the control
for the HRS and were also not exposed to gamma-ray radiation.
All tested devices were 5 m in diameter.
The devices were exposed with all pins left floating in a Gam. The
macell 220 at a dose rate of 478.5 rad
retention measurements were conducted after each dose step,
using an Agilent 4156C semiconductor parameter analyzer connected, via low noise triaxial cables, to an Agilent 16442B test
fixture with a 28 pin DIP socket fixture. The resistance state was
measured by sampling the current when 10 mV was applied continuously from anode to cathode.
B. Cycling Endurance After –Ray Exposures
In a cycling or endurance test, the ability of a memory cell to
be set to the LRS and reset to the HRS repeatedly is investigated.
This cycling test is performed by applying a train of voltage
pulses to set and reset the PMC cell. The setup used to conduct
the test is described in Fig. 4. A positive voltage pulse applied
Fig. 5. Typical waveforms applied and measured: input signal from the AWG
on the bottom, output voltage on top. The inset presents a closer view to the
V
ms), the
reset observed on the output signal. The Set pulse used is (
V
ms), and the read pulse is (
mV ms).
reset is (
on the anode of the device sets it to the LRS, whereas a negative
voltage pulse resets it to the HRS (Fig. 5). In this method, the
device resistance is manipulated by applying a bias for a fixed
amount of time. The longer the bias is applied, the lower the
resistance will become as the filament broadens. For the 10 ms
pulsewidth used in this experiment, the LRS is approximately
(shown in Fig. 7), which corresponds to a compliance
current of 1 mA when using a compliance current method for
programming [17].
After the write and erase pulses, a small amplitude pulse is
applied to sense the resistance value of the PMC. An arbitrary
waveform generator (Tektronix AWG 520) was used to generate
the pulse train of write/read/erase/read signals. An oscilloscope
(Agilent 54832D MSO) was used to retrieve the signals to observe if the cells were set or reset, and to compute the resistance
values after a given number of cycles. This is the first time that
this type of characterization has been performed on irradiated
PMCs.
Several tiles of bare devices were initially placed in the Gammacell 220 with contacts left floating. At periodic TID, a tile
of devices was removed, leaving the remaining devices to be
exposed to higher TID. The removed devices were cycled as
described above, until the HRS began to decrease. The devices
exposed to gamma-ray radiation were compared to the behavior
of control devices not exposed to radiation.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
4
IEEE TRANSACTIONS ON NUCLEAR SCIENCE
Fig. 6. Retention of PMC devices initially programmed to a LRS with
A, and devices erased into a HRS then exposed to an accumulative TID of
). The control (Ctrl) devices were not exposed to radiation.
2.8 Mrad (
C. DC Resistance Switching After Electron and Proton
Exposures
Exposure to 100 keV electrons was conducted on PMCs devices at The Aerospace Corporation. Devices were step stressed
to increasing fluence (i.e., increasing dose) then swept from
0.5 V to 0.5 V and back to 0.5 V at the end of each exposure
step to retrieve the resistance switching characteristics and oband
values [9]. The samples’ pins were
tain the typical
left floating during exposure. A total of 24 devices with a diameter of 5 m were characterized. Those devices were divided in
three sets of samples switched/programmed with different proA,
A,
A). For each
gramming currents (
, six PMCs have been exposed to electrons and characterized and two PMCs have been characterized as control parts.
50 MeV proton exposures were conducted at the UCB-LBNL
cyclotron, with PMCs exposed from the front side and from the
cm was used during
backside. Only one fluence of
the proton exposures. Similar to the electron tests, different sets
of PMCs have been characterized before and after exposure with
A,
A and
A.
IV. RESULTS AND DISCUSSION
Fig. 7. Endurance of PMC exposed to Co-60 gamma-rays. HRS and LRS resistance as a function of the Write/Erase cycle number for a control PMC and a
.
PMC exposed to 4.62 Mrad. Failure is defined when
minimum and maximum range of values. As shown in Fig. 6,
no abrupt state change (LRS to HRS or HRS to LRS) occurred
due to radiation exposure. The LRS of the exposed devices increased within the first 24 hours of the test but this behavior was
does not apalso seen in the control devices. Exposure to
pear to have a significant effect on the retention behavior of the
LRS. The HRS of the exposed devices remained stable during
the entire test. Over time, the HRS control devices drifted to
higher resistances.
One control device programed to the HRS and one programmed to the LRS, suddenly went low, shown by the long
whiskers around 4000 minutes in Fig. 6. This behavior has been
seen several times during the testing of these devices. Each time
a control measurement was taken, the packaged tile was placed
in the test fixture for the duration of the measurement, then
promptly removed and placed in a light-tight box. The act of
placing the package in and removing the package from the test
fixture, may have resulted in a static discharge that caused two
of the control devices to program to a lower resistance state.
The reason for this test procedure was to mimic the testing
procedure of the irradiated devices. After each dose step, the
irradiated packages were removed from the Gammacell and
placed in the test fixture to obtain measurements. The package
was then removed from the test fixture and placed back in the
Gammacell for the next dose.
A. Memory Retention After –Ray Exposures
Results obtained on exposed (as a function of time and TID)
and control devices (as a function of time) are presented in
Fig. 6. Control measurements were performed on four devices,
two in the LRS and two in the HRS. For the exposed devices,
five devices were erased into the HRS and four devices were
A. It is shown that deprogrammed to a LRS using
vices in both the LRS and HRS maintained state for TID up to
2.8 Mrad(
) (the maximum TID tested on the presented
parts).
The hashed area in Fig. 6 defines the HRS. The HRS of indiM to
M . The threshold
vidual device varied between
M is the median HRS of the 13 devices tested before exof
posure to radiation. The trends shown in Fig. 6 are the mean state
of the devices tested for each condition. The whiskers show the
B. Cycling Endurance After –Ray Exposures
Representative endurance plots (where the HRS and LRS resistance values are plotted as a function of the cycle number)
for devices exposed to incremental TID are presented in Fig. 7.
The trend lines for each total dose and control in Fig. 7 is the
mean of three devices for a total of 12 devices tested.
For the control devices tested in this work, the maximum
number of cycles achieved was generally between
to
cycles. As shown in Fig. 7, the control begins to
exhibit a decrease in the HRS resistance after
cycles. After
cycles, the ratio between the HRS and LRS of the control reduced below 100, constituting a failure for this scenario.
cycles, the value
Irradiated devices were cycled up until
at which the control devices began to deteriorate.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
TAGGART et al.: IONIZING RADIATION EFFECTS ON NON-VOLATILE MEMORY PROPERTIES OF PROGRAMMABLE METALLIZATION CELLS
5
Fig. 9. Cumulative probabilities of
and
after 50 MeV proton front
and
values are obtained at 50 mV on
side (Ag anode end) exposure.
the dc resistance switching characteristic.
Fig. 8. Cumulative distributions of the resistance values obtained on
PMCs after increasing exposure to 100 keV electrons, for TID up to
): (a)
of
m devices programmed at
A; (b)
12 Mrad(
of
m devices; (c) comparison between
(black symbols), and
(red
symbols with line). Resistance values are extracted on the dc I-V resistance
switching characteristics at a voltage of 50 mV.
C. DC Resistance Switching After Electron and Proton
Exposures
and
Fig. 8 shows the cumulative distributions of
switched with an
A for 100 keV electron exposure. Similar results were observed for devices programmed
with
A and
A. It is shown that
does
is observed to denot vary with TID [Fig. 8(a)], whereas
crease with exposure for TID higher than 100 krad (
)
is also observed on devices
[Fig. 8(b)]. This decrease in
characterized with all three programming current compliance
levels. The five LRS data points that overlap into the HRS are
due to a device that switched to a high resistance during its programming sweep. This behavior may be due to a weak point in
the formed filament. It is clear from Fig. 8(c) that the majority
(red symbols) and LRS redistribution of HRS resistance
sistance
(black symbols) do not overlap even after exposure
), further demonstrating the
to electrons up to 12 Mrad(
radiation hardness in the switching characteristics of PMCs.
In Fig. 9 and Fig. 10, cumulative distributions obtained on
devices exposed to 50 MeV protons incident to the front side
and back side of the devices are presented. The devices were
of
A [Fig. 9,
switched using a dc sweep with an
of
A [Fig. 10(b)]. For the proton
Fig. 10(a)] and
was observed for both back and
exposures, a decrease in
front side exposed devices. A slight decrease of the
is also
observed for the samples exposed from the back and switched
A). The shift in
with the higher programming currents (
is seen to be more significant due to back side irradiation
than from front side. When exposed from the back side, prom of Si, 100 nm of
, and 100 nm
tons travel through
region. Nuclear inof Ni before entering the active
teractions in the silicon substrate layer may induce cascades of
recoiled nuclei that result in displacement damage and ionization in the device layers [18]. During front side irradiation, the
proton is directly incident on the active layers of the PMC de.
vice which consists of 35 nm of Ag, and 60 nm of
The 50 MeV protons pass through the active layers with little
interaction during front side irradiation.
V. CONCLUSION
The impact of total ionizing dose on the retention and endurance of PMC memory cells is investigated for the first time
in this work. PMCs are used as memory cells in novel commercial NVM circuits (e.g., CBRAM technology), and the effects
studied on PMCs could enable a better understanding of the impact of radiation on such memory circuits and any other applications based on PMC or CBRAM technology. It has previously
been shown that for metal-oxide based ReRAM cells exposed to
gamma-rays and x-rays, the most sensitive state is the HRS [8].
gamma ray exposure levels up
In this work, it is shown that
) have little impact on the LRS and HRS
to 2.8 Mrad (
retention of these devices. Similar to what has been observed on
metal-oxide based ReRAM, the LRS is maintained after several
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
6
IEEE TRANSACTIONS ON NUCLEAR SCIENCE
ACKNOWLEDGMENT
The authors would like to thank Dr. James Reed of DTRA,
Dr. Arthur Edwards of AFRL, and Matthew Marinella and
Michael McLain of Sandia National Laboratories for their
support of this work.
REFERENCES
Fig. 10. Cumulative distribution of
and
after 50 MeV proton back
and
values are obtained at 50 mV on
side (Ni cathode end) exposure.
A.
the dc resistance switching characteristic. (a) Programming current of
A.
(b) Programming current of
Mrad of total dose. This is a result that expands upon recent
studies [13], [14] of retention conducted directly on a commerbased CBRAM memory circuit (128 kbit EEPROM
cial
type circuit), where it was found that for TIDs up to 5 Mrad no
errors appeared on the data stored in the memory array. The endurance of PMCs was shown here to be impacted by TID with a
decrease in the total number of set/reset cycles that can be performed on the cells after exposure. Nevertheless, no decrease in
dynamic range was observed on the exposed cells (the HRS and
LRS maintain the same resistance levels and the same
ratio) for cycles below
. The resistance switching of PMCs
during 100 keV electron exposures and 50 MeV proton exposures for high levels of TID is also presented in this work and exgamma–rays
pands upon previous studies conducted with
[9]. It has been shown that 100 keV electron irradiation reduces
the HRS with increasing dose. When exposed to 50 MeV protons, no effect is seen during front side irradiation but the HRS
decreases after back side exposure. This shift is most likely due
to recoiled particles from the substrate layers that induces ionization in the active device layers. The results obtained during
these studies confirms the ability to maintain functionality, the
ability to program the PMC devices to a HRS or LRS state, after
exposure to various radiation environments.
[1] M. N. Kozicki, M. Park, and M. Mitkova, “Nanoscale memory elements based on solid-state electrolytes,” IEEE Trans. Nanotechnol.,
vol. 4, pp. 331–338, May 2005.
[2] I. Valov and M. N. Kozicki, “Cation-based resistance change memory,”
J. Phys. D, Appl. Phys., vol. 46, no. 7, p. 074005, Feb. 2013.
[3] I. Valov, R. Waser, J. R. Jameson, and M. N. Kozicki, “Electrochemical metallization memories—fundamentals, applications, prospects,”
Nanotechnology, vol. 22, no. 25, p. 254003, Jun. 2011.
[4] ITRS Workshop, “Assessment of the potential and maturity of
selected emerging research memory technologies,” [Online]. Available:
http://www.itrs.net/Links/2010ITRS/2010Update/ToPost/
ERD_ERM_2201FINALReportMemoryAssessment_ITRS.pdf
[5] B. Swaroop, W. C. West, G. Martinez, M. N. Kozicki, and L. A. Akers,
“Programmable current mode Hebbian learning neural network using
programmable metallization cell,” in Proc. IEEE Int. Symp. Circuits
Syst., 1998, vol. 3, pp. 33–36.
[6] S. Yu and H.-S. P. Wong, “Modeling the switching dynamics of programmable-metallization-cell (PMC) memory and its application as
synapse device for a neuromorphic computation system,” IEDM Tech.
Dig, pp. 22.1.1–22.1.4., 2010.
[7] Y. Wang, H. Lv, W. Wang, Q. Liu, S. Long, Q. Wang, Z. Huo, S.
Zhang, Y. Li, Q. Zuo, W. Lian, J. Yang, and M. Liu, “Highly stable radiation-hardened resistive-switching memory,” IEEE Electron Device
Lett., vol. 31, no. 12, pp. 1470–1472, Dec. 2010.
[8] M. J. Marinella, S. M. Dalton, P. R. Mickel, P. E. Dodd, M. R.
Shaneyfelt, E. Bielejec, G. Vizkelethy, and P. G. Kotula, “Initial
assessment of the effects of radiation on the electrical characteristics
of TaOx memristive memories,” IEEE Trans. Nucl. Sci., vol. 59, no.
6, pp. 2987–2994, Dec. 2012.
[9] Y. Gonzalez-Velo, H. J. Barnaby, M. N. Kozicki, P. Dandamudi, A.
Chandran, K. E. Holbert, M. Mitkova, and M. Ailavajhala, “Total-ionizing dose effects on the resistance switching characteristics of chalcogenide programmable metallization cells,” IEEE Trans. Nucl. Sci., vol.
60, no. 6, pp. 4563–4569, Dec. 2013.
[10] P. Dandamudi, M. N. Kozicki, H. J. Barnaby, Y. Gonzalez-Velo, and
K. E. Holbert, “Total ionizing dose tolerance of Ag-Ge40S60 based
programmable metallization cells,” IEEE Trans. Nucl. Sci., vol. 61, no.
4, pp. 1726–1731, Aug. 2014.
[11] “CBRAM is a registered trademark of Adesto Technologies Corporation,” [Online]. Available: http://www.adestotech.com/CBRAM
[12] C. Gopalan, Y. Ma, T. Gallo, J. Wang, E. Runnion, J. Saenz, F.
Koushan, P. Blanchard, and S. Hollmer, “Demonstration of conductive bridging random access memory (CBRAM) in logic CMOS
process,” Solid-State Electron., vol. 58, no. 1, pp. 54–61, Apr. 2011.
[13] Y. Gonzalez-Velo, H. J. Barnaby, M. N. Kozicki, C. Gopalan, and
K. E. Holbert, “Total ionizing dose retention capability of conductive
bridging random access memory,” IEEE Electron Device Lett., vol. 35,
no. 2, Feb. 2014.
[14] Adesto Technologies, “Gamma radiation tolerant CBRAM technology,” 2013 [Online]. Available: http://www.adestotech.com/sites/
default/files/documents/CS_CBRAM_001.pdf
[15] M. N. Kozicki, M. Balakrishnan, C. Gopalan, C. Ratnakumar, and
M. Mitkova, “Programmable metallization cell memory based on
Ag-Ge-S and Cu-Ge-S solid electrolytes,” in Proc. NVMTS, 2005, pp.
83–89.
[16] D. Kamalanathan, U. Russo, D. Ielmini, and M. N. Kozicki, “Voltagedriven on–off transition and tradeoff with program and erase current
in programmable metallization cell (PMC) memory,” IEEE Electron
Device Lett., vol. 30, no. 5, pp. 553–555, Apr. 2009.
[17] M. N. Kozicki, C. Gopalan, M. Balakrishnan, M. Park, and M.
Mitkova, “Non-volatile memory based on solid electrolytes,” in Proc.
NVMTS, 2004, pp. 10–17.
[18] D. M. Hiemstra and E. W. Blackmore, “LET spectra of proton energy
levels from 50 to 500 MeV and their effectiveness for single event
effects characterization of microelectronics,” IEEE Trans. Nucl. Sci.,
vol. 50, no. 6, pp. 2245–2250, Dec. 2003.
Download