記錄 編號 5871 狀態 NC093FJU00428040 助教 查核 索書 號 學校

advertisement
記錄
5871
編號
狀態 NC093FJU00428040
助教
查核
索書
號
學校
輔仁大學
名稱
系所
電子工程學系
名稱
舊系
所名
稱
學號 492506288
研究
生 林漢文
(中)
研究
生 Han-Wen Lin
(英)
論文
名稱 具階層多餘之嵌入式記憶體內建自我修復方法
(中)
論文
An Efficient Built-In Self-Repair Scheme for Embedded Memories with
名稱
Hierarchical Redundancy
(英)
其他
題名
指導
教授 呂學坤
(中)
指導
教授 Shyue-Kung Lu
(英)
校內
全文
開放
日期
校外
全文
開放
日期
全文
不開
放理
由
電子
全文
送交
國圖.
國圖
全文
開放
日期.
檔案
說明
電子
全文
學位
碩士
類別
畢業
學年 93
度
出版
年
語文
中文
別
關鍵
字 具階層多餘
(中)
關鍵
字 Embedded Memories with Hierarchical Redundancy
(英)
在現今 system-on-a-chip 的市場上,嵌入式記憶體在系統晶片裡通常佔有
摘要 絕大部分的面積。因此,嵌入式記憶體的良率勢必決定了系統晶片的良
率。所以,在設計和製造過程中如何提高嵌入式記憶體的可靠度和良率
(中)
變成一個重要的研究議題。在這篇論文中,我們提出了一個具階層多餘
之嵌入式記憶體內建自我修復方法。這個自我修復方法的電路包含了自
我測試和自我多餘分析兩大部分。在多餘修復資源方面,我們使用了記
憶體 Word Write Mask 的特性把多餘字、多餘列和多餘行整合在同一塊記
憶體上。此外,再把多餘列和多餘行用虛擬的方式分成多餘列區塊和多
餘行組區塊。因此,在修復過程中所使用的多餘資源是以區塊為單位而
非整行或整列。另外,我們還發展了一套計算修復效能的模擬程式。這
一個模擬程式可以幫助設計者規劃所需的多餘修復資源以達到可接受的
修復率。最後的實驗結果顯示對一個 16K ? 32 SRAM 的晶片,我們提出
的修復方法可以有較低的額外硬體(1.83%)。
In today’s system-on-a-chip era, embedded memories usually occupy a
significant portion of the system chip area. Therefore, the yield of these memory
cores will dominate the SOC chip yield. How to improve the reliability and the
yield of embedded memories is an important issue for designer and fabrication. A
Built-In Self-Repair (BISR) scheme with hierarchical redundancy architecture for
embedded memories will be presented in this thesis. Our BISR circuit consists of a
摘要 built-in self-test (BIST) module and a built-in redundancy-analysis (BIRA)
module. Spare words, spare rows, and spare columns are added into the memory
cores as redundancy by using the feature of Word Write Mask. The spare rows and
(英)
spare columns of the memory are virtually divided into spare row blocks and spare
column group blocks. The address reconfiguration is performed at row block or
column block level instead of the traditional row or column level. Moreover, a
simulator is also developed for evaluating repair efficiency of redundancy analysis
algorithms. This simulator can help designer to reach an acceptable repair rate with
a specific redundancy configuration. Experimental results show that we can obtain
a high repair rate with low area overhead (1.83%) of the BISR circuit for a 16K ?
32 SRAM chip.
Contents Page Abstract (in Chinese) ????????????????????? i
Abstract ??????????????????????????? ii
Acknowledgement ??????????????????????? iii
Contents ??????????????????????????? iv List of Tables ????????????????????????
vi List of Figures ???????????????????????? vii 1
Introduction ??????????????????????? 1 1.1 Motivation and
Background ???????????????? 1 1.2 Organization ?????????????????????? 4 2
論文
Review of BIST, BISD, and BISR Techniques for Embedded Memories? 5 2.1
Fault Models & Test Algorithms?????????????? 5 2.2 Built-In Self目次 Test ??????????????????? 9 2.3 Built-In Self-Diagnosis ????????????????? 11 2.4
Built-In Self-Repair & Built-In Redundancy Analysis ????? 12 3 A BISR Scheme
of Embedded Memories with Hierarchical Redundancy? 16 3.1 Proposed BISR
Scheme ????????????????? 16 3.1.1 Proposed Redundancy Organization ?????????
16 3.1.2 Extended ESP Algorithm ?????????????? 19 3.1.3 BISR Architecture and
Procedure??????????? 28 3.2 BIST and BIRA Circuit Implementation ????????? 29
3.2.1 BIST Circuit ??????????????????? 29 3.2.2 BIRA Circuit ??????????????????
31 4 A Simulator for Evaluating Efficiency of Redundancy Analysis Algorithm 35
4.1 Simulation Flow and Graphic User Interface????????? 35 4.2 Input
Specifications??????????????????? 37 4.3 Fault Injection ??????????????????? 39
4.4 Evaluation of Repair Rate ??????????????? 41 4.5 Area
Overhead ??????????????????? 42 4.5.1 Memory Model ???????????????? 42 4.5.2
BIST Area Model ??????????????? 43 4.5.3 BIRA Area Model ??????????????? 45
5 Experimental Results ??????????????????? 47 5.1 A Practical
Example ???????????????? 47 5.2 Repair Rate Analysis ???????????????? 49 5.3
Yield Analysis ??????????????????? 55 6 Conclusions and Future
Works ????????????????? 57 6.1 Conclusions ????????????????????? 57 6.2
Future Works ????????????????????? 57 References ???????????????????????? 58
References [1] A. Allan et al., “2001 Technology Roadmap for
Semiconductors,” Computers, vol. 35, no. 1, pp. 42-53, Jan. 2002. [2]
http://www.viragelogic.com [3] S. K. Lu, and C. H. Hsu, “Built-in self-repair for
divided-word line memory,” in. Proc. IEEE International Symposium on Circuits
and Systems, vol. 4, pp. 13-16, May 2001. [4] M. Yoshimoto, K. Anami, H.
Shinohara, T. Yoshihara, H. Takagi, S. Nagao, S. Kayano, and T. Nakano, “A
divided word-line structure in the static RAM and its application to a 64K full
CMOS RAM,” IEEE Journal of Solid-State Circuits, vol. SC-18, no. 5, pp. 479485, Oct. 1983. [5] A. Karandidar and K. K. Parhi, “Low power SRAM design
using hierarchical divided bit-line approach,” in Proc. International Conference
on Computer Design, pp. 82-88, Oct. 1998. [6] Michael L. Bushnell, Vishwani D.
Agrawal, “Essentials of Electronic Testing for Digital, Memory and Mixed-Signal
VLSI Circuits,” Kluwer Academic Publishers, 2000. [7] J. van de Goor, Zai Al參考 Ars, “Functional Memory Faults: A Formal Notation and a Taxonomy,” VLSI
Test Symposium, pp. 281-289, 30 April-4 2000. [8] J. van de Goor, “Using
march tests to test SRAMs,” IEEE Design & Test of Computers, vol. 10, pp. 814, March 1993. [9] Chi-Feng Wu, Chih-Tsun Huang and Cheng-Wen Wu,
“RAMSES: A Fast Memory Fault Simulator,” in Proc. IEEE Int. Symp. Defect
文獻
and Fault Tolerance in VLSI Systems (DFT), pp. 165-173, Nov. 1999. [10] ChiFeng Wu, Chih-Tsun Huang and Kuo-Liang Cheng, Cheng-Wen Wu; “Fault
simulation and test algorithm generation for random access memories,” IEEE
Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no. 4,
pp. 480–490, April 2002. [11] O. Kebichi, M. Nicolaidis, “A tool for automatic
generation of BISTed and transparent BISTed RAMs,” Computer Design: VLSI
in Computers and Processors, pp. 570–575, Oct. 1992 [12] C. Cheng, C. T.
Huang, J. R. Huang, C. W. Wu, C. J. Wey, and M. C. Tsai, “BRAINS: a BIST
compiler for embedded memories,” in Proc. IEEE International Symposium on
Defect and Fault Tolerance in VLSI Systems, pp. 299-307, Oct. 2000. [13] S.
Pateras, “IP for embedded diagnosis,” IEEE Design & Test of Computers, vol.
19, no. 3, pp. 44–53, May-June 2002. [14] Chih-Wea Wang, Chi-Feng Wu, JinFu Li, Cheng-Wen Wu, T. Teng, K. Chiu and Hsiao-Ping Lin, “A built-in selftest and self-diagnosis scheme for embedded SRAM,” in Proc. Asian Test
Symposium, pp. 45–50, Dec. 2000. [15] Li-Ming Denq, Rei-Fu Huang, ChengWen Wu, Yeong-Jar Chang and Wen-Ching Wu, “A parallel built-in diagnostic
scheme for multiple embedded memories,” in Proc. Int’l Workshop on Memory
Technology, Design and Testing, pp. 65–69, Aug. 2004 [16] C. Selva, C. Torelli,
D. Rimondi, R. Zappa, S. Corbani and G. Mastrodomenico and L. Albani, “A
programmable built-in self-diagnosis for embedded SRAM,” in Proc. Int’l
Workshop on Memory Technology, Design and Testing, pp. 84–89, Aug. 2004
[17] Chi-Feng Wu, Chih-Tsun Huang, Chih-Wea Wang, Kuo-Liang Cheng and
Cheng-Wen Wu, “Error catch and analysis for semiconductor memories using
March tests,” in Proc. IEEE/ACM Int. Conf. Computer Aided Design (ICCAD),
pp. 468–471, Nov. 2000. [18] Kuo-Liang Cheng, Chih-Wea Wang, Jih-Nung Lee,
Yung-Fa Chou, Chih-Tsun Huang and Cheng-Wen Wu, “FAME: a fault-pattern
based memory failure analysis framework,” in Proc. IEEE/ACM Int. Conf.
Computer Aided Design (ICCAD), pp. 595-598, Nov. 2003. [19] C. T. Huang, C.
F. Wu, J. F. Li, and C. W. Wu, “Built-in redundancy analysis for memory yield
improvement,” IEEE Trans. on Reliabilities, vol. 52, pp. 386-399, Dec. 2003.
[20] S. K. Lu, “Built-in self-repair techniques for embedded RAMs,” IEE Proc.
Computers & Digital Techniques, vol. 150, no. 4, pp. 201-208, July 2003. [21] S.
K. Lu, “A novel built-in self-test approach for embedded RAMs,” Journal of
Electronic Testing: Theory and Application, vol. 19, pp. 315-324, June 2003. [22]
M. Tarr, D. Boudreau, and R. Murphy, “Defect analysis system speeds test and
repair of redundant memories,” Electronics, pp. 175-179, Jan. 1984. [23] J. R.
Day, “A fault-driven comprehensive redundancy algorithm for repair of dynamic
RAM’s,” IEEE Design & Test of Computers, vol. 2, no. 3, pp. 33-44, 1985.
[24] W. K. Huang, Y. N. Shen, and F. Lombardi, “New approaches for the repair
of memories with redundancy by row/column deletion for yield enhancement,”
IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 9,
pp. 323-328, Mar. 1990. [25] Shyue-Kung Lu, Yu-Cheng Tsai, Han-Wen Lin and
Kuo-Hua Wang, “Efficient Built-In Redundancy Analysis for Embedded
Memories with 2-D Redundancy,” in Proc. International SOC Design
Conference, pp. 380-383, Oct. 2004. [26] J. F. Li, J. C. Yeh, R. F. Huang, and C.
W. Wu, “A built-in self-repair scheme for semiconductor memories with 2-D
redundancy,” in Proc. International Test Conference, vol.1, pp. 393-402, 2003.
[27] Rei-Fu Huang, Chin-Lung Su, Cheng-Wen Wu, Shen-Tien Lin, Kun-Lun Luo,
Yeong-Jar Chang, “Fail Pattern Identification for Memory Built-In Self-Repair,”
in Proc. Asian Test Symposium, pp. 366–371, Nov. 2004 [28] A. J. van de Goor
and I. Schanstra, “Address and data scrambling: causes and impact on memory
tests,” in Proc. 1st International Workshop on Design, Test and Applications, pp.
128-136, Jan. 2002. [29] S. Y. Kuo and W. K. Fuchs, “Efficient spare allocation
in reconfigurable arrays,” IEEE Design & Test of Computers, vol. 4, pp. 24-31,
1987. [30] Kuo-Liang Cheng, Chih-Wea Wang, Jih-Nung Lee, Yung-Fa Chou and
Chih-Tsun Huang, “FAME: a fault-pattern based memory failure analysis
framework,” in Proc. Int’l Conf. on Computer Aided Design, pp. 595–598,
Nov. 2003.
論文
57
頁數
附註
全文
點閱
次數
資料
建置
時間
轉檔
日期
全文
檔存
取記
錄
異動
M admin Y2008.M7.D3 23:17 61.59.161.35
記錄
Download