BARC and Standing Wave Effects Laboratory - People

advertisement
BARC and Standing Wave Effects
Microlithography Materials and Processes Laboratory (EMCR 676 / 721)
Microelectronic Engineering Department
Rochester Institute of Technology
1. OBJECTIVE
The objective of this experiment is to demonstrate the role of Bottom Anti-Reflective Coatings (BARC) in
suppressing standing wave effects.
2. INTRODUCTION
The goal of optical lithography is to transfer a given pattern from photomask to wafer with as high fidelity
as possible. If a reflective substrate is present and a nearly coherent source used, reflections from the
substrate will interfere with incoming light and produce standing waves. The standing waves will manifest
themselves in reflective notches that are observable in the photoresist profile. The reflective notches make
CD control difficult, since a top down measurement will only capture the peak nodes. Although standing
wave effects can be minimized through the use of post exposure bake, severe reflections require an
additional layer to suppress them. Organic BARCs such as Brewer Science XL ARC have two reflection
suppression mechanisms: phase matching and absorption. Absorption α is closely linked to the extinction
coefficient k of the BARC. By the Beer-Lambert law, absorption is determined by

4k

and the transmission then is [1]:

T  e  t
where t is the film thickness and λ is the radiation wavelength. It follows, therefore, that a film sufficiently
thick, or with a sufficiently high extinction coefficient, can be an ideal BARC. However, BARC thickness
is often limited by its undercutting in wet development, and by additional resist consumption in dry etch
 layer; this makes it necessary to constrain BARC thickness to
while attempting to penetrate the BARC
several tens of nanometers. Additionally, a high extinction coefficient used to produce a fully absorbing
thin film will result in reflections at the resist/BARC interface due to the complex refractive index
mismatch between the two. Phase matching is accomplished using a film whose thickness is one quarter λ
in the media, so the total path traveled by light traveling through the BARC, off of the substrate, and back
through the BARC is 180°. This thickness is determined by
t
m
4nBARC
where m is an integer [1]. Complete cancellation of reflections requires that reflection from the
resist/BARC interface and the BARC/substrate are equal, which is accomplished by setting the BARC’s
complex refractive index to [1]:

nBARC  nPhotoresist  nSubstrate

3. PROCEDURE
The goal of this lab is to investigate the benefits of an ARC layer. In order to do this, we will create a
standing wave condition in the resist image. Recall that one advantage of a post-exposure bake in resist
processing is reduction in standing wave effect through thermal flow. We will therefore remove the postexposure bake from our resist processing, creating a visible standing wave effect, and then use BARC to
minimize this standing wave by reducing substrate reflection.
Choose a procedure that will illustrate the existence of standing waves, and conduct the experiment with
and without a BARC layer. Consult the procedures of the previous laboratories for inspiration.
3.1 PROLITH simulation
1) Using PROLITH, modify the default simulation in the following way:
a)
Film Stack
i)
Select the photoresist your group will be using with a thickness similar to what we achieve in
the SMFL.
b) Imaging Tool
i)
Verify that the illumination wavelength is either 365nm (i-line) or 436nm (g-line), depending
on which stepper your group will be using.
ii) Verify Conventional illumination-partial coherent is selected
iii) Verify partial coherence is set to 0.6 for the Canon FPA 2000-i1 or 0.68 for the GCA 6700.
iv) Verify NA set to 0.52 for the Canon FPA 2000-i1 or 0.28 for the GCA 6700.
c)
Post Exposure Bake
i)
Set PEB time to 2.0 seconds (if set to 0, exposure profiles are not very good, and this gives us
the right conditions we’re after)
2) Examine the diagram obtained in the resist profile window demonstrating the reflective notching
3) Using an available BARC (probably Brewer XLT), perform simulation sets varying ARC thickness
and examine plots of substrate reflectance vs. ARC thickness; use this plot to find the optimum BARC
thickness.
4) Also examine the resist profile diagram for a film-stack including an optimized BARC thickness.
3.2 Baseline data
1) Depending on the chosen procedure, you may be able to reuse data collected during a previous lab; this
may grant you additional time to conduct a more thorough investigation.
2) Collect baseline data using wafers coated with photoresist.
3.3 BARC Wafer
1) Repeat the procedure used to collect baseline data, but coat the wafers with BARC before coating them
with photoresist.
a)
Refer to datasheets and presentations provided by the manufacturer for suggested procedures
regarding silation (HMDS application), BARC post-coat bake temperatures and times, and spin
speed curves. It is suggested that you verify the manufacturer’s spin speed curves by conducting
your own.
b) BARC thickness may be measured with profilometry; there may also be an applicable program in
the Tencor SpectraMap.
i)
On the SpectraMap, it is important to place the wafer as close to the center of the chuck as
possible; if the maximum point measured on the SpectraMap is an order of magnitude larger
than the mean, the tool has measured off the edge of the wafer. If this happens despite your
best efforts to center the wafer, either decrease the measuring diameter, or decrease the
Sorting σ which dictates whether or not a point is discarded based on how many standard
deviations it is away from the mean.
2) Given extra time, you may investigate additional treatment combinations, such as the inclusion of a
PEB, and BARC coated at a non-optimized thickness.
4. DISCUSSION
1) Compare the results obtained in lab to the PROLITH results obtained before lab. Note any differences
and the possible reasons for these differences.
2) Calculate nodal spacing, if possible, and compare those to what you would predict using theory.
3) Using the extinction coefficient for the BARC, compute the minimum thickness needed for 0
transmission.
4) Using refractive indices obtained from PROLITH and/or the RIT Lithography group website at
http://www.microe.rit.edu/research/lithography/utilities.htm, compute the ideal complex refractive
index of a BARC using silicon as a substrate and OiR 620 as a photoresist.
5) Using your collected data, quantify the reduction of standing waves that results from the inclusion of a
BARC layer.
REFERENCES
1.
2.
3.
B.W. Smith and J.R. Sheats, “Microlithography: Science and Technology”, Marcel Dekker, 1998,
New York.
Brewer Science XL ARC Datasheet and Presentation
Olin OiR 620 Datasheet
Download