Glossary

advertisement

GLOSSARY

EMTM640: Microelectronics

acceptor: An impurity that causes semiconducting materials to accept valence electrons, thereby leaving "holes" in the band. The holes act like carriers of positive charge, referred to as P type. amplifier: A device that uses an active component to increase the voltage or power of a signal without distorting its waveshape. analog: A continuous, non-digital representation of phenomena. An analog voltage, for example, may take any value.

AND gate: A gate whose output is ON only if all input signals are ON. anneal: To heat a material to some elevated temperature to reorder the crystal structure and remove stresses. The subsequent cooling schedule affects the final level of stresses.

ISIC (Application Specific Integrated Circuits):

An integrated circuit that is designed such that its functionality is unique to one and only one customer. Included in ASICs are gate arrays, standard cells, structured ASICs and programmable logic devices (PLDs, CPLDs, FPGAs).

ASSP : (Application-Specific Standard Products ): An integrated circuit that performs functions for a single application and is made available to the general marketplace. atomic number: A number assigned to each element equal to the number of protons

(therefore the number of electrons) in the atom. base: (1) The control portion of an NPN or PNP junction transistor. (2) The P-type diffusion done using boron that forms the base of NPN transistors, the emitter and collector of lateral PNP transistors, and resistors. binary: A system of numbers using 2 as a base, in contrast to the decimal system which uses l0 as a base. The binary system requires only two symbols: 0 and 1. bipolar transistor: A transistor consisting of an emitter, base and collector, whose action depends on the injection of minority carriers from the base by the collector.

Sometimes called NPN or PNP transistor to emphasize its layered structure.

BIOS: Built-in Input Output System which allows the computer to do its basic operations without having to access the disk. The bios is typically stored on a ROM chip. bit: a binary digit. A bit is the smallest unit of storage in a digital computer, and is used to represent one of the two states in the binary number system.

Bluetooth : A wireless Personal Area Network (PAN) technology from the Bluetoothtm

Special Interest Group, founded in 1998 by Ericsson, TBM, Intel, Nokia and Toshiba. It is an open standard for short-range transmission of digital voice and data between mobile and desktop devices.

EMTM 640-Glossary-1

Glossary - 2

CAD (Computer-Aided Design): Atechnique of using a computer to aid a person in the design of electrical circuits, integrated circuits, gate arrays, and other complex engineering designs in a reasonable timeframe.

CAGR : Cummulative average growth rate capacitor: A discrete device which stores electrical charge on two conductors separated by a dielectric. channel: A thin region of a semiconductor that supports conduction. A channel may occur at a surface or in the bulk, essential for the operation of MOSFETs and SIGFETs.

In cases where channels are not part of the circuit design, their presence may indicate contamination problems or incomplete isolation.

CIM (Computer Integrated Manufacturing): The computerization of all plant operations and the integration of these operations into one computer design, control and distribution system. circuit layout: The physical arrangement of all the circuit elements on the surface of the device.

CMOS (complementary field-effect transistor): N- and P-channel MOS transistors on the same chip. collector: Along with the emitter and base, one f the three regions of the bipolar type of transistor. conductivity: The ability of materials to conduct electricity (measured in siemens for conductance or ohms for resistance). conductor: A material which has low resistivity and high conductivity.

CPLD : complex programmable logic device (see also PLD) crystal: A material in which the atoms are arranged in structures groups called unit cells. current: A measure of the number of charged particles passing a given point per unit time.

CVD (chemical vapor deposition): A method for depositing some of the layers which function as dielectrics, conductors or semiconductors. A chemical containing atoms of the material to be deposited reacts with another chemical, liberating the desired material, which deposits on the wafer while by-products of the reaction are removed from the reaction chamber.

DDRAM : Double Data Rate RAM deposition: A heat or physical (sputtering) process whereby a thin film of material is deposited over the surface of a wafer.

EMTM640-Glossary-2

Glossary - 3 development: A chemical process that removes the photoresist from areas not defined by the mask in a lithographic procedure. device: A single-function component such as a transistor, resistor, capacitor or an integrated circuit.

DGFET : Double Gate Field Effect Transistor, is a MOS field effect transistor with two gates (upper and lower). This device structure provides superior short-channel transistor performance and is often considered the structure of choice for deep sub-micron and nanoscale transistors. diffusion: A process used in semiconductor production which introduces minute amounts of impurities (dopants) into a substrate material such as silicon or germanium and permits the impurity to spread into the substrate. The process is very dependent on temperature and time. digital: A method of representing information in an electrical circuit by switching the current ON or OFF. Only two output voltages are possible - usually represented by "0" and "1". diode: Device which enables current flow in one direction but not in another. donor: An impurity that can make a semiconductor N-type by donating extra "free" electrons; electrons carry a negative charge. dopant: An element that alters the conductivity of a semiconductor by contributing either a hole or electron to the conductance process. For silicon, the dopants are found in

Groups III and V of the periodic table.

DRAM (dynamic random access memory): Memory device for the storage of digital information. The information is stored in a "volatile" state. drain: Along with the source and gate, one of the three regions of a unipolar or fieldeffect transistor (FET).

ECL (Emitter Coupled Logic): A form of current-mode logic in which the ouput is available from an emitter-follower output state.

EEPROM or E2PROM (Electrically-erasable PROM): Similar to ROM, but with the capability of selective erasure and programming through special electrical stimulus.

Sometimes termed EEPROM. electron: A charged particle revolving around the nucleus of an atom. It can form bonds with electrons from other atoms or be lost, making the atom an ion. embedded processor: A computer chip that controls the function of its product. While embedded processors sometimes refer to the type of chips used in simple electronic toys, "talking" greeting cards and similar consumer products, they are also highfunctioning microcontrollers that are the brains of products such as laser printers.

emitter: (1) The region of a transistor that serves as the source or input end for carriers.

(2) The N-type diffusion usually done using phosphorus, which forms the emitter of NPN transistors, the base contact of PNP transistors, the N+ contact of NPN transistors, and low-value resistors.

EMTM640-Glossary-3

Glossary - 4

EMS: Electronic manufacturing service providers that manufacture only (no design).

EPROM (erasable programmable read-only memory): Device that allows stored information to be erases; erasing is typically accomplished with ultra-violet light.

EUV : Extreme Ultra Violet Lithography.

Fabless : A semiconductor company with no wafer fabrication capability. The company adopts a business methodology of outsourcing the manufacturing of silicon wafers, allowing them to focus on the design, development and marketing of their products.

Fab-lite :

refers to integrated device manufacturers or vertically integrated companies with a strategy bent toward utilizing a fabless approach.

FET (field-effect transistor): A transistor consisting of a source, gate and drain, whose action depends on the flow of majority carriers past the gate from the source to drain.

The flow is controlled by the transverse electric field under the gate. See unipolar transistor.

FPGA: Field programmable gate array . A gate-array like structure that can be programmed by the user.

FPLA (Field Programmable Logic Array): A PLA that can be programmed by the user.

FeRAM (FRAM): Ferroelectric Random Access Memory that stores information in a ferroelectric thin film. This memory is "non-volatile" and is an emerging memory technology for embedded applications.

GaAs (Gallium Arsenide): A compound semiconductor material in which active devices are fabricated. GaAs has a higher carrier mobility than silicon, thus it has the capability of producing higher speed devices. gallium arsenide (GaAs): Most common of compound semiconductor materials. It has the advantage of producing higher-speed devices than those produced using silicon as a substrate. gate: Along with the source and drain, one of the three regions of the unipolar or fieldeffect transistor (FET or MOS). gate oxide (gate ox): The thin oxide which causes the induction of charge, creating a channel between source and drain regions of a MOS transistor. germanium: Semiconducting material used in the manufacture of crystal diodes and of early transistors.

HEMT : High Electron Mobility Transistor. This device is used for microwave and teraherz applications. It provides a low noise figure what makes the transistor attractive for microwave amplifiers.

HMOS (High-performance MOS): A scaled, high performance NMOS structure.

EMTM640-Glossary-4

Glossary - 5 hole: (1) The absence of a valence electron in a semiconductor crystal. Motion of a hole is equivalent to motion of a positive charge. (2) A "hole" in a surface layer created by the photomasking process. integrated circuit: A circuit in which many elements are fabricated and interconnected on a single chip of semiconductor material, as opposed to a "non-integrated" circuit, in which the transistors, diodes, resistors, etc., are fabricated separately and then assembled.

IDM (Integrated Device Manufacturer): is a class of semiconductor company that owns an internal silicon wafer fab or, as the name indicates, the fabrication of wafers is integrated into its business. However, even IDMs may do some outsourcing. intrinsic semiconductor: An element or compound that has four electrons in its outer ring (i.e. elements from Group IV of the periodic table or compounds of Group III and V). ion: An atom that has either gained or lost electrons, making it a charged particle (either negative or positive). ion implantation: Introduction of selected impurities (dopants) by means of high voltage ion bombardment to achieve desired electronic properties in defined areas.

IP (Intellectual Property): Products, Hardware and Software protected by patents and copyrights.

ISA bus : Industry Standard Architecture bus, that was the bus architecture that was used in the IBM PC/XT computers and became an industry standard. However, the ISA bus has been replaced by the faster PCI bus.

JFET (junction field-effect transistor): Device in which voltage is applied to a terminal to control current between the source and drain regions. junction: The interface at which the conductivity type of a material changes from P type to N type or vice versa.

LAN : Local area network that connects a group of computers on a common wire or wireless (WLAN) link.

Latch Up: (1) In an electrical circuit this refers to when the circuit is conducting and hold this state.

LED (light-emitting diode): A semiconductor device in which the energy of minority carriers in combining with holes is converted to light. Usually, but not necessarily, constructed as a P-N junction device. lithography: Process of pattern transfer; when light is utilized, it is termed photolithography; and when patterns are small enough to be measured in microns, it is referred to as microlithography.

LSI (large-scale integration): Refers to chips with between 5000 and 100,000 components each.

EMTM640-Glossary-5

Glossary - 6

Microprocessor : A central processing unit (CPU) fabricated on one or more chips, containing the basic arithmetic, logic and control elements required by a computer for processing data. Micro-processor also refers to an integrated circuit that accepts coded instructions, executes the instructions and delivers signals that describe its internal status.

MNOS (Metal Nitride Oxide Semiconductor): The dielectric between metal and semiconductor is fabricated from silicon niride. This technology is commonly used to make

EEPROMs.

MOSFET: A field-effect transistor containing a metal gate over thermal oxide over silicon.

MPU (Microprocessor Unit): Sometimes used synonymously with the word microprocessor.

MSI (medium-scale integration): Refers to chips with between 50 and 5000 components each.

NAND gate (Not-AND gate): An AND gate followed by an inverter. The output of the

AND gate is inverted to the opposite value.

NMOS: N-channel MOS: A type of MOSFET using electrons to conduct current in the semiconductor channel. The channel has a predominantly negative charge during conduction. The source and drain are N-type.

NOR gate (Not-OR): An OR gate followed by an inverter. The output of the OR gate is inverted to the opposite value.

NOT gate: The output is just the opposite from the single input.

NPN transistor: A transistor which has a base of P-type silicon sandwiched between an emitter and a collector of N-type silicon.

NRE: Nonrecurring expense, referring to one-time charges associated with a design

N-type: A semiconductor material in which the majority of carriers are electrons and therefore negative. N-type dopants in silicon are Group V elements in which the fifth outer electron is free to conduct current.

NVRAM : Non-volatile RAM is a memory that consists of both a SRAM and either a battery back up or a EEPROM. In the latter case when the power is switched off the memory contents is transferred from the SRAM into the EEPROM.

ODM: Original Design Manufacturer (manufactures and design products)

OEM : Original Equipment Manufacturer.

OR gate: The output is yes if at least one input is yes. overall yield: The percentage of functioning packaged chips from a wafer related to the number of die mapped onto the wafer. Overall yield is the product of fabrication yield, sort yield, and assembly yield.

EMTM640-Glossary-6

Glossary - 7

Ovonyx (OUM) memory: is an emerging memory technology that makes use of chalcogenide films to store bits of information. The film changes resistivity upon heating and cooling. oxidation: The growth of oxide on silicon when exposed to oxygen. This process is highly temperature dependent. patterning: A process in which the pattern in a reticle or photomask is transferred to a wafer resulting in the identification of areas to be doped or selectively removed.

PCB : Printed Circuit Board. Flat material on which electronic components are mounted.

Also provides electrical pathways that connect components

PCI: Peripheral Component Interconnect, which is a local bus architecture introduced by

INTEL and used in PCs and Macintosh computers.

PLA (Programmable Logic Array): A general purpose logic circuit containing an array of logic gates that can be connected (programmed) to perform various functions.

PLD (Programmable Logic Device): A generic name for logic devices that can be programmed by the user. planar structure: A flat surfaced device structure fabricated by diffusion and oxide masking with the junctions terminating in a single plane.

PMOS (P-channel MOS): A type of MOSFET using holes to conduct current in the semiconductor channel The channel has a predominantly positive charge during conduction.

PNP: Semiconductor crystal structure consisting of an N-type region sandwiched between two P-type regions, as commonly used in bipolar transistors.

PROM (programmable read-only memory): A read-only memory that can be programmed after manufacture by external equipment. Typically, PROMs utilize fusible links that may be burned open to produce a logic bit in a specific location.

P-type: Semiconductor material in which the majority carriers are holes and therefore, positive. P-type dopants in silicon are Group III-A elements.

RAM(random access memory): Device that temporarily stores digital information and can be changed as required. It constitutes the basic (read/write) storage element in a computer. reactive ion etching (RIE): An etching process that combines plasma and ion beam removal of the surface layer. The etchant gas enters the reaction chamber and is ionized. The individual molecules accelerate to the wafer surface. At the surface, the top layer removal is achieved by the physical and chemical removal of the material. resistivity: A measure of the resistance to current flow in a material. A function of the attraction between the outer electrons and inner protons of a material. The more tightly bound the electrons, the greater the resistivity.

EMTM640-Glossary-7

Glossary - 8

RF: Radio Frequency. A radio wave is an electromagnetic wave whose frequency can vary depending on the applications (AM radio, FM radio, TV, Cellular telephone, WiFi, etc.). RF waves are used to transmit information through an antenna. The frequency spectrum is allocated by the FCC (Federal Communication Commission) and ranges from hundreds of kiloHertz to tens of GigaHerz.

ROM (read-only memory): Device in which information is permanently stored.

Scaling: A technique of reducing the size of an existing integrated circuit die by selective shrinking of the X and/or Y dimensions.

SDRAM : Synchronous DRAM semiconductor: An element such as silicon or germanium, intermediate in electrical conductivity between the conductors and the insulators, in which conduction takes place by means of holes and electrons. Common single-element semiconductors are

Si(silicon) and Ge (germanium); a common compound semiconductor is GaAs (gallium arsenide). silicon (Si): The Group IV element used for fabricating diodes, transistors and integrated circuits.

SoC ( System on a chip): A chip that is a self-contained system, including processing, memory and input-output functions

SiP : System in a Package

SOI (Silicon-on-Insulator): Similar to SOS, but with oxide or another insulting film isolating individual transistors.

SOS (Silicon-On-Sapphire): A fast MOS technology in which silicon is epitaxially grown on a sapphire wafer, and etched away between transistors. Each device is thus isolated by air or oxide from other devices.

SSI (small-scale integration): Refers to chips with between 2 and 50 components each. standard cells: Predefined logic elements that may be selected and arranged to creast a custom IC more easily than through original (custom) design. static RAM (static random access memory): A type of semiconductory memory in which a pair of flip-flops are cross-coupled to hold a binary state as long as power is applied. thermal oxide: On silicon semiconductor devices, an oxide fabricated by exposing the silicon to oxygen at high temperatures. The resulting interface has low levels of ionic impurities and defects (surface states). transistor: A semiconductor device that uses a stream of charge carriers to product active electronic effect. The name was coined from the electrical characteristic of

"transfer resistance".

EMTM640-Glossary-8

Glossary - 9

TTL or T2L (Transistor-Transistor Logic): A bipolar technology where a transistor output is connected directly (rather than through a resistor or diode) to a transistor input of the next stage.

USLI (ultra-large-scale integration): ICs with over 10 million or more transistors.

VLSI ( very-large-scale integration): Refers to chips with between 100,000 and

1,000,000 components. wafer: A thin, usually round, slice of a semiconductor material from which chips are made. wafer fabrication: The series of manufacturing operations in which the circuit or device is put in and on the wafer.

WiFi : stands for Wireless Fidelity and it the popular term for Wireless Local Area

Network or WLAN. wire bonding: An assembly step in which thin gold or aluminum wires are attached between the die bonding pads and the lead connections in the package.

WLAN: A wireless local area network (LAN) that allows mobile users to connect to a network through a wireless connection. The IEEE 802.11 standard gives the specs for the technology. This technology is sometimes call WiFi. x-ray exposure system: Imaging system using x-rays as the exposure source. Due to their short wavelengths, x-rays exhibit no detrimental diffraction effects. yield: A percentage used in the semiconductor industry which indicates the amount of finished products leaving a process as compared to the amount of product entering that process.

References :

1. P. Van Zant, "Microchip Fabrication," 4 th

Ed., McGraw Hill, New York, 2000.

2. How Things Work? http://www.whatis.com

3. Semiconductor Industry Association. http://www.sia-online.org/ind_glossary.cfm

4. Webopedia, http://www.webopedia.com/

EMTM640-Glossary-9

Download