Gou-Chung Chi Publication List

advertisement
Gou-Chung Chi
Publication List
2009/04/24
(A) Journal Papers
1. G. C. Chi and G. S. Cargill, “Structural Anisotropy of Amorphous
2.
3.
4.
Cobalt-Phosphorus Alloys”, Bull. Am. Phys. Soc. (Ser.II) 20, 865 (1975).
G. C. Chi and G. S. Cargill, “Annealing Effects in Amorphous Co-P Alloys”,
Materials Science and Engineering 23, 155 (1976).
G. C. Chi and R. J. Schutz, “Microstructures in Amorphous Nb3Ge Films”,
Materials Science and Engineering 34, 161 (1978).
G. C. Chi, H. S. Chen, and C. E. Miller, “The Influence of Quenching Procedures
on the Kinetics of Embrittlements in a Fe40Ni40B20 Metallic Glass”, J. Appl. Phys.
6.
49, 1715 (1978).
G. S. Cargill and G. C. Chi, “Inhormogeneities in Amorphous Gd-Co-Based Alloys”,
J. Appl. Crystallography, Vol. II (1978).
G. C. Chi and B. Bagley, “Internal Oxidation in Glassy Cu65Zr35 as Studied by
7.
Samll-Angle X-ray Scattering”, J. Appl. Crystallography, Vol. II (1978).
G. C. Chi and G. S. Cargill, “Structural Characterization of Amorphous
8.
Electrodeposited Cobalt-Phosphorus Alloys”, J. Appl. Phys. 50, 2713 (1979).
R. Cocholan and G. C. Chi, “Transmission of 24 GHz Radiation through Co-P from
5.
9.
4K to 414K”, J. Appl. Phys. 50(11), 7674 (1979).
G. C. Chi, C. J. Mogab, A. D. Burtherus, and A. G. Lehner, “The Magnetoresistivity,
Structure and Magnetic Anisotropy of RF Sputtered and E-Beam Evaporated NiFe
Films”, J. Appl. Phys. 52, 2439 (1981).
10. H. S. Chen and G. C. Chi, “Mechanical Properities and Magnetic Behavior of
Deformed Metal Glass Wires”, J. Appl. Phys. 55, 1796 (1984).
11. G. C. Chi and S. Nakahara, “The Microstructure of Thin AlCu(4.5%) Films
Deposited by Sputtering Techniques”, Materials Letter 2, 380 (1984).
12. C. Y. Chen and G. C. Chi, “Low Noise Ga0.47In0.53As Photoconductive Detectors
Using Fe Compensation”, Appl. Phys. Lett. 45, 1083, (1984).
13. G. C. Chi and C. J. Mogab, “RIE Planarization for Magnetic Bubble Devices”,
IEEE Transactions on Magnetics MAG-21, 1170 (1985).
14. K. D. Cummings, L. R. Harriott, G. C. Chi, and F.W. Ostermayer, “Using Focused
Ion Beam Damage Patterns to Photoelectrochemically Etch Features in III-V
Materials”, Appl. Phys. Lett. 48, 659 (1986).
15. G. C. Chi, F. W. Ostermayer, K. D. Cummings and L. R. Harriott, “Ion Beam
Damage-Induced Masking for Photoelectrochemical Etching of III-V
Semiconductors”, J. Appl. Phys. 60, 4012 (1986).
表 C302
共 23 頁
第1頁
16. G. C. Chi, D. J. Muehlner, F. W. Ostermayer, J. M. Fruend, R. Pawelek, R. J.
Mecoy, L. J. Peticolas and V. D. Mattera, “A planar Avalanche Photodiode with a
Low Doped, Reduced Curvature Junction”, Appl. Phys. Lett. 50, 1158 (1987).
17. G. C. Chi, D. J. Muehlner, F. W. Ostermayer, J. M. Fruend, K. J. O’Brieu, R.
Pawelek, R. J. Mecoy, R. C. Smith and V. D. Mattera, “Planar InP/InGaAsP three
Dimensional Graded-Junction Avalanche Photodiode”, IEEE Transaction on
Electron Devices ED-34, 2265 (1987).
18. M. Thakur and G. C. Chi, “Thin Single Crystal Films of Organic, Waveguiding in
PTS Films”, Synthetic Metals 28, D595 (1989).
19. M. C. Hung and G. C. Chi, “A Tri-Layer Pattern Transfer Technique by Reactive
Ion Teching”, MRS Bull Res. Dev. 4, 89 (1990).
20. C. P. Song and G. C. Chi, “High Radiance InGaAsP/InP Lensed LEDs for Optical
Conmunication Systems at 1.3 μm”, MRL Bull. Res. Dev. 6, 45 (1992).
21. G. C. Chi and C. Juang, “GaAs/A1GaAs Quantum Well Infrared Detectors with an
Integral Silicon Grating”, Jpn. J. Appl. Phys. 33, 2483 (1994).
22. G. C. Chi, “An E-Beam Evaporated Borosilicate Glass Thin Film as an
Encapsulant for Annealing Be-implant InP”, Materials Chemistry and Physics 39,
6971 (1994).
23. J. K. Hsu, C. Juang, B. J. Lee, and G. C. Chi, “Photoluminescence Studies of
Interstitial Zn in InP due to Rapid Thermal Annealing”, J. Vac. Sci. Technol. B 12,
1416 (1994).
24. G. C. Chi, Y. K. Su, M. J. Jou and W. C. Hung, “Window Layers for Current
Spreading in AlGaInP Light-emitting Diode”, J. Appl. Phys. 76, 2603 (1994).
25. G. C. Chi and C. Juang, “Top Sawtooth Grating for GaAs/AlGaAs Quantum Well IR
Detector”, Materials Science & Engineerieng B 35, 56 (1995).
26. J. D. Guo, C. F. Lin, M. S.Feng, F. M. Pan, G. C. Chi and C. T. Lee, “A Bilayer
Ti/Ag Ohmic Contact for Highly Doped n-type GaN Films”, Appl. Phys. Lett. 68, 8
(1996).
27. C. H. Lee, G. C. Chi, C. F. Lin, M. S. Feng and J. D. Guo, “The X-Ray
Crystallographic Study of The GaN Epitaxial Films on Al2O3(0001) with GaN
Buffer Layers”, Appl. Phys. Lett. 68, 3440 (1996).
28. C. F. Lin, G. C. Chi, J. D. Guo, and M. S. Feng, “The Dependence of The Electrical
Characteristic of The GaN Epitaxial Layer on The Thermal Treatment of The GaN
Buffe Layer”, Appl. Phys. Lett. 68, 3758 (1996).
29. J. K. Sheu, Y. K. Su, S. J. Chang, M. J. Jou, and G. C. Chi, “AlGaInP/GaP
Light-Emitting Diodes Fabricated by Wafe Direct Bonding Technology”, Jpn. J.
Appl. Phys. 35, 4199 (1996).
30. C. F. Lin, H. C. Cheng, J. A. Huang, M. S. Feng, J. D. Guo, and G. C. Chi,
“Mobility Enhancements in 2DEG in AlGaN/GaN/SiC with Stair-Step and Graded
Heterostructures”, Appl. Phys. Lett. 70, 2583 (1997).
表 C302
共 23 頁
第2頁
31. C. F. Lin, H. C. Cheng, G. C. Chi, M. S. Feng, J. D. Guo, J. Hong, and C.Y. Chen,
“Growth and Characterizations of GaN on SiC Substrate with Buffer Layers”, J.
Appl. Phys. 82, 2378 (1997).
32. G. C. Chi and S. Y. Hong, “The Fabrication of a Small Guard-Ring Avalanche
Photodiodes with an Uniform Gain Profile”, JCIEE 4, 209 (1997).
33. C. F. Lin, H. C. Cheng, M. S. Feng, G. C. Chi, “Characterization of GaN epitaxial
layers on SiC substrates with AlxGa1-xN buffer layers”, Materials Science &
Engineering Β 50, 25 (1997).
34. J. K. Sheu, Y. K. Su, G. C. Chi, W. C. Chen, C. Y. Chen, C. N. Huang, Y. C. Yu, C.
W. Wang, “TheEffect of Thermal Annealing on the Ni/Au contact of p-type GaN”, J.
Appl. Phys. 83, 3172 (1998).
35. B. J. Pong, C. J. Pan, Y. C. Teng, G. C. Chi, W. H. Li, K. C. Lee, and C. H. Lee,
“Structural Defects and Microstrain in GaN Induced by Mg Ion-Implantation”, J.
Appl. Phys. 83, 5992 (1998).
36. J. K. Sheu, Y. K. Su, G. C. Chi, M. J. Jou, and C. M. Chang, “Effects of Thermal
Annealing on the Indium Tin Oxide Shottky Contacts of n-GaN”, Appl. Phys. Lett.
72, 22 (1998).
37. J. K. Sheu, Y. K. Su, G. C. Chi, B. J. Pong, C. Y. Chen, C. N. Huang, and W. C.
Chen, “Photoluminescence Spectroscopy of Mg-doped GaN”, J. Appl. Phys. 84,
4590 (1998).
38. J. K. Sheu, Y. K. Su, S. J. Chang, G. C. Chi, K. B. Lin, C. C. Liu, and C. C. Chiu,
“Electrical Derivative Characteristics of Ion-Implanted AlGaInP/GaInP
Multi-Quantum Well Lasers”, Solid State Electronics 42, 1867 (1998).
39. J. K. Sheu, Y. K. Su, S. J. Chang, M. J. Jou, C. C. Liu, and G. C. Chi,
“Investigation of Wafer-Bonded (AlxGa1-x)0.5In0.5P/GaP Light Emitting Diodes”,
IEE Proc-Optoelectron 145, 248 (1998).
40. T. Y. Lin, H. M. Chen, M. S. Tsai, Y. F. Chen, F. F. Fang, C. F. Lin, and G. C. Chi,
“Two-Dimensional Electron Gas and Persistent Photoconductivity in
AlxGa1-xN/GaN Heterostructures”, Physical Review B 58, 13793 (1998).
41. J. K. Sheu, Y. K. Su, S. J. Cheng, M. J. Jou, C. C. Lin, and G. C. Chi,
“Investigation of Wafer-Bonded (AlxGa1-x)0.5In0.5P/GaP Light-Emitting Diodes”,
Apasiology 12, 248 (1998).
42. C. J. Pan and G. C. Chi, “The Doping of GaN with Mg Diffusion”, Solid State
Electronics 43, 621 (1999).
43. J. K. Sheu, Y. K. Su, G. C. Chi, M. J. Jou, C. C. Liu, C. M. Chang, and W. C. Hung,
“Inductively Coupled Plasma Etching of GaN using Cl2/Ar and Cl2/N2 Gases”, J.
Appl. Phys. 85, 1970 (1999).
44. J. Y. Chen, C. J. Pan, and G. C. Chi, “Electrical and Optical Changes in the Near
Surface of Reactively Ion Etched n-GaN”, Solid State Electronics 43, 649 (1999).
45. C. C. Yang, G. C. Chi, C. K. Huang, and M. C. Wu, “The Improvement of GaN
表 C302
共 23 頁
第3頁
Epitaxial Layer Quality by the Design of Reactor Chamber Spacing”, J. Cryst.
Growth. 200, 32 (1999).
46. C. C. Yang, C. K. Huang, G. C. Chi, and M. C. Wu, “Growth and Characterization
of GaN by Atmosphere Pressure Metalorganic Chemical-Vapor Deposition with a
Novel Separate Flow Reactor”, J. Cryst. Growth. 200, 39 (1999).
47. J. K. Sheu, Y. K. Su, G. C. Chi, P. K. Kon, M. J. Jou, C. M. Chang, C. C. Liu, and
W. C. Huang, “High-Transparency Ni/Au Ohmic contact to p-type GaN”, Appl. Phy.
Lett. 74, 2340 (1999).
48. C. C. Yang, M. C. Wu, C. A. Chang, and G. C. Chi, “Effectiveness of Multiple-Pair
Buffer Layer to Improve the GaN Layers Grown by Metalorganic Chemical Vapor
Depositon”, J. Appl. Phys. 85, 8427 (1999).
49. J. K. Sheu, Y. K. Su, G. C. Chi, M. J. Jou, C. M. Chang, and C. C. Liu, “The
Indium Tin Oxide Ohmic Contact to Highly Doped n-GaN”, Solid State Electronics
43, 2081 (1999).
50. C. C. Yang, M. C. Wu, C. H. Lee, and G. C. Chi, “X-Ray Diffraction
Characterization of Epitaxial Zinc-Blende GaN Films on a Miscut GaAs (001)
Substrates Using the Hybride Vapor Phase Epitaxy Method”, accepted to be
published in J. Cryst. Growth (1999).
51. C. C. Yang, M. C. Wu, C. A. Chang, and G. C. Chi, “Effects of Multiple Buffers on
Structural Electronic Properties of GaN Growth by Atmospheric Perssure
Organometallic Vapor Phase Epitzxy”, Materials Science and Engineering B 206, 8
(1999).
52. C. C. Yang, P. L. Koh, M. C. Wu, C. H. Lee, and G. C. Chi, “Effects of H2/NH3
Flow-Ratio on the Luminescent, Structural, and Electrical Properties of GaN
Epitaxial Layers Grown b MOCVD”, to appear in J. of Electronic Materials, Oct.
(1999).
53. C. C. Yang, M. C. Wu, and G. C. Chi, “Improvement of GaN Layer Quality by
Using the Bulk-GaN Buffer Structure Grown by MOCVD”, J. Appl. Phys. 86, 6120
(1999).
54. G. C. Chi, C. H. Kuo, J. K. Sheu and C. J. Pan, “The Doping Process of p-type GaN
Films”, Materials Science and Engineering B 75, 210 (2000).
55. J. K. Sheu, Y. K. Su, G. C. Chi, M. J. Jou, C. M. Chang, C. C. Liu, W. C. Hung, J. S.
Bow and Y. C. Yu, “The formation of Ti / Al Ohmic contact on etched n-GaN
surfaces”, J. Vac. Sci. Technol. B 18, 729 (2000).
56. J. K. Sheu, Y. K. Su, G. C. Chi, and M. J. Jou, “Growth and characterization of
InGaN/GaN muti-quantum well light-emitting diodes”, J. Chinese Institute of
Electrical Engineering 7, 219 (2000).
57. J. K. Sheu, G. C. Chi, Y. K. Su, C. C. Lin, M. C. Chang, W. C. Hung, and M. J. Jou,
“Luminescence of an InGaN/GaN Multiple Quantum Wells Light-Emitting Diodes”,
表 C302
共 23 頁
第4頁
Solid State Electronics 44, 1055 (2000).
58. C. F. Lin, H. C. Cheng, and G. C. Chi, “W Ohmic Contact for Highly Doped
N-Type GaN Films”, Solid State Electronics 44, 757 (2000).
59. C. C. Yang, M. C. Wu, C. H. Lee, and G. C. Chi, “Microstructural Evolution in a
Multiple Composite Layer of GaN on Sapphire by Organometallic Vapor Phase
Epitaxy”, J. Appl. Phys. 87, 4240 (2000).
60. Y. K. Su, G. C. Chi, and J. K. Sheu, ”Optical properties in InGaN/GaN multiple
quantum wells and blue LEDs“, Optical materials 14, 205 (2000).
61. C. C. Chen, H. W. Chuang, G. C. Chi, C. C. Chuo, and J. I. Chyi, “Stimulated
emission study of high indium content InGaN/GaN multiple quantum well
structures”, Appl. Phys. Lett. 77, 3758 (2000).
62. C. F. Lin, H. C. Cheng, C. C. Chang, and G. C. Chi, “Properties of Mg activation in
thermally treated GaN:Mg films”, J. Appl. Phys. 88, 6515 (2000).
63. J. K. Sheu, C. H. Kuo, G. C. Chi, C. C. Chen and M. J. Jou, ”Characterization of
the Properties of Mg-doped Al0.15Ga0.85N/GaN superlattices”, Solid-State
Electronics 45, 1665 (2001).
64. T. C. Wen, W. I. Lee, J. K. Sheu and G. C. Chi, “Characterization of p-type
InxGa1-xN grown by metalorganic chemical vapor deposition”, Solid-State
Electronics 45, 427 (2001).
65. J. K. Sheu, G. C. Chi, and M. J. Jou, ”Low-operation voltage of InGaN/GaN
light-emitting diodes by using a Mg-doped Al0.15Ga0.85N/GaN superlattice“ IEEE
Electron Device Letters 22, 160 (2001).
66. C. H. Kuo, J. K. Sheu, G. C. Chi, Y. L. Huang , and T. W. Yeh, ”Low-resistance
Ni/Au ohmic contact to Mg-doped of Al0.15Ga0.85N/GaN superlattices”, Solid-State
Electronics 45, 717 (2001).
67. J. K. Sheu, G. C. Chi, and M. J. Jou ”Enhanced output power in an InGaN/GaN
multi-quantum well light-emitting diode with Asymmetric wells”, IEEE Photonics
Technology Letters 13, 1164 (2001).
68. J. K. Sheu, J. M. Tsai, S. C. Shei, W. C. Lai, T. C. Wen, C. H. Kou, Y. K. Su, S. J.
Chang and G. C. Chi, ”Low-operation voltage of InGaN/GaN light-emitting diodes
with Si-doped In0.3Ga0.7N/GaN short-period superlattice tunneling contact layer”,
IEEE Electron Device Letters 22, 460 (2001).
69. C. C. Lee, L. W. Wu, and G. C. Chi, ”Activation analysis of rapid thermally
annealed Si and Mg co-implanted semi-insulating GaAs”, Nucl. Iustrum. Meth. B
178, 265 (2001).
70. C. H. Chen, S. J. Chang, Y. K. Su, G. C. Chi, J. Y. Chi, C. A. Chang, J. K. Sheu,
and J. F. Chen, ”GaN metal-semiconductor-metal Ultraviolet photodetectors with
transparent indium-tin –oxide Schottky contacts”, IEEE Photonics Technology
表 C302
共 23 頁
第5頁
Letters 13, 848 (2001).
71. C. C. Chen, K. L. Hsieh, G. C. Chi, C. C. Chuo, J. I. Chyi, and C. A. Chang, “Effect
of thermal annealing on high indium content InGaN/GaN single quantum well
structures”, J. Appl. Phys. 89, 5465 (2001).
72. C. C. Chen, T. H. Hsueh, Y. S. Ting, G. C. Chi, and C. A. Chang, “Effects of In and
Ga Interdiffusion on the Optical Gain of InGaN/GaN Quantum Well”, J. Appl. Phys.
90, 5180 (2001).
73. C. C. Chen, K. L. Hsieh, J. K. Sheu, G. C. Chi, M. J. Jou, C. H. Lee, and M. Z. Lin,
“Crystal orientation dependence of optical gain in InGaN/GaN multiple quantum
well structure”, Appl. Phys. Lett. 79, 1477 (2001).
74. J. K. Sheu, M. S. Tsai, C. J. Tun, and G. C. Chi, ”n+-GaN formed by Si
implantation into p-GaN“, J. Appl. Phys. 91, 1845 (2002).
75. T. C. Wen, W. I. Lee, J. K. Sheu, and G. C. Chi, “Observation of dislocation etch
pits in epitaxial lateral overgrowth GaN by wet etching”, Solid-State Electronics
46, 555 (2002).
76. C. H. Kuo, S. J. Chang, Y. K. Su, L. W. Wu, J. K. Sheu, C. H. Chen, and G. C. Chi,
“Low temperature activation of Mg-doped GaN in O2 ambient”, Jpn. J. Appl. Phys.
41, L112 (2002).
77. C. H. Chen, Y. K. Su, S. J. Chang, G. C. Chi, J. K. Sheu, J. F. Chen, C. H. Liu, and
Y. H. Laiw, “High-brightness green light emitting diodes with charge asymmetric
resonance tunneling structure”, IEEE Electron Device Letters 23, 130 (2002).
78. C. H. Kuo, S. J. Chang, Y. K. Su, L. W. Wu, J. K. Sheu, C. H. Chen, and G. C.
Chi, ”InGaN/GaN light emitting diodes activated in O2 ambient”, IEEE Electron
Device Letters 23, 240 (2002).
79. J. K. Sheu and G. C. Chi, “The doping process and dopant characteristics of GaN”,
Journal of Physics 14, R657 (2002).
80. J. K. Sheu, C. J. Pan, G. C. Chi, C. H. Kou, L. W. Wu, C. H. Chen, S. J. Chang and
Y. K. Su, ”White-light emission from InGaN/GaN multi-quantum well
light-emitting diodes with Si and Zn co-doped active well layer”, IEEE Photonics
Technology Letters 14, 450 (2002).
81. C. H. Chen, S. J. Chang, Y. K. Su, G. C. Chi, J. K. Sheu and J. F. Chen, ”High
efficient InGaN/GaN MQW green light-emitting diodes with CART and DBR
structures”, IEEE J. Selected topics in Quantum Electronics 8, 284 (2002).
82. M. L. Lee, J. K. Sheu, L. S. Yeh, M. S. Tsai, C. J. Kao, C. J. Tun, S. J. Chang, and
G. C. Chi, ”GaN p-n junction diode formed by Si ion implantation into p-GaN”,
Solid-State Electronics 46, 2179 (2002).
83. J. K. Sheu, M. L. Lee , C. J. Tun, C. J. Kao, L. S. Yeh, C. C. Lee, S. J. Chang, and
G. C. Chi, ”Characterization of Si implants in p-type GaN”, IEEE J. Selected topics
表 C302
共 23 頁
第6頁
in Quantum Electronics 8, 767 (2002).
84. C. C. Chen, K. L. Hsieh, J. K. Sheu, G. C. Chi, M. J. Jou, C. H. Lee, M. Z. Lin,
“Dependence of optical gain on direction of optically pumped cavity on
(0001)-plane for InGaN/GaN multiple quantum well structure”, Material Science
and Engineering B 93, 28 (2002).
85. J. K. Sheu, M. L. Lee, L. S. Yeh, C. J. Kao, C. J. Tun, M. J. Chen, G. C. Chi, S. J.
Chang, Y. K. Su, and C. T. Lee ”Planar GaN n+-p photodetectors formed by Si
implants into p-GaN”, Appl. Phys. Lett. 81, 4263 (2002).
86. C. C. Chen, K. L. Hsieh, G. C. Chi, C. C. Chuo, J. I. Chyi, C. A. Chang, “Thermal
annealing effects on stimulated emission of high-indium-content InGaN/GaN single
quantum well structure”, Solid State Electronics 46, 1123 (2002).
87. C. C. Chen, S. R. Hwang, W. H. Li, K. C. Lee, G. C. Chi, H. T. Hsiao, and C. G.
Wu, “Enhanced electroluminescence and stability of polymer light-emitting diodes
with direct polyaniline synthesized anodes”, Polymer J. 34, 271 (2002).
88. C. C. Chen, J. Y. Chang, and G. C. Chi, “Design of GaN convex diffractive
microlenses”, Optics and Laser Technology 34/7, 569 (2002).
89. Y. S. Huang, C. C. Chen, G. C. Chi, and J. Y. Chang, “Design of off-axis
micro-mirrors”, Journal of Optical Memory & Neural Networks 11, 245 (2002).
90. J. W. Chiou, S. Mookerjee, K. V. R. Rao, J. C. Jan, H. M. Tsai, K. Asokan, W. F.
Pong, F. Z. Chien, M. H. Tsai, Y. K. Chang, Y. Y. Chen, J. F. Lee, C. C. Lee, and G.
C. Chi, “Angle-dependent x-ray absorption spectroscopy study of Zn-doped GaN”,
Appl. Phys. Lett. 81, 3389 (2002).
91. C. C. Chen, M. H. Li, C. Y. Chang, J. K. Sheu, G. C. Chi, W. T. Cheng, J. H. Yeh, J.
Y. Chang, and T. Ito, “GaN diffractive microlenses fabricated with gray-level
mask”, Optics Communications 215, 75 (2003).
92. J. K. Sheu, S. J. Chang, C. H. Kuo, Y. K. Su, L. W. Wu, Y. C. Lin, W. C. Lai, J. M.
Tsai, G. C. Chi, and R. K. Wu, “White-Light Emission From Near UV InGaN-GaN
LED Chip Precoated With Blue/Green/Red Phosphors”, IEEE Photonics
Technology Letters 15, 18 (2003).
93. L. S. Yeh, M. L. Lee, J. K. Sheu, M. G. Chen , C. J. Kao, G. C. Chi, S. J. Chang, and
Y. K. Su, ”Visible-blind GaN PIN photodiodes with an Al0.12Ga0.88N/GaN
superlattice structure”, Solid-State Electronics 47, 873 (2003).
94. X. D. Chen, Y. Huang, S. Fung, C. D. Beling, C. C. Ling, J. K. Sheu, M. L. Lee, S.
J. Chang, and G. C. Chi, ”Deep level defect in Si-implanted GaN n+-p junction”,
Appl. Phys. Lett. 82, 3671 (2003).
95. J. K. Sheu, C. J. Kao, M. L. Lee,W. C. Lai, L. S. Yeh, G. C. Chi, S. J. Chang, Y. K.
Su, and J. M. Tsai, ”Nitride-based ultraviolet metal-semiconductor-metal
photodetectors with a low-temperature GaN layer”, Journal of Electronic Materials
表 C302
共 23 頁
第7頁
32, 400 (2003).
96. R. C. Tu, C. J. Tun, J. K. Sheu, W. H. Kuo, T. C. Wang, C. E. Tsai, J. T. Hsu, J. Chi,
and G. C. Chi, “Improvement of InGaN/GaN laser diodes by using a Si-doped
In0.23Ga0.77N/GaN short-period superlattice tunneling contact layer”, IEEE
Electron Device Letters 24, 206 (2003).
97. S. J. Chang, M. L. Lee, J. K. Sheu, W. C. Lai, Y. K. Su, C. S. Chang, C. J. Kao, G.
C. Chi, and J. M. Tsai, ”GaN Metal-Semiconductor-Metal photodetectors with
low-temperature GaN cap layers and ITO metal contacts”, IEEE Electron Device
Letters 24, 212 (2003).
98. M. L. Lee, J. K. Sheu, W. C. Lai, S. J. Chang, Y. K. Su, M. G. Chen, C. J. Kao, J.
M. Tsai, and G. C. Chi, ”GaN Schottky barrier photodetectors with a
low-temperature GaN cap layer”, Appl. Phys. Lett. 82, 2913 (2003).
99. C. C. Chen, Y. S. Ting, C. C. Lee, G. C. Chi, P. Chakraborty, T. Chini, H. W.
Chuang, J. S. Tsang, C. T. Kuo, W. C. Tsai, S. H. Chen, J. I. Chyi, “Er diffusion
into gallium nitride”, Solid-State Electronics 47, 529, (2003).
100. C. C. Chen, T. H. Hsueh, Y. S. Ting, G. C. Chi, C. A. Chang, and S. C. Wang,
“Thermal annealing effects on the optical gain of InGaN/GaN quantum well
structures”, Solid-State Electronics 47, 575 (2003).
101. M. L. Lee, J. K. Sheu, W. C. Lai, Y. K. Su, S. J. Chang, C. J. Kao, C. J. Tun, M. G.
Chen, W. H. Chang, G. C. Chi, and J. M. Tsai, ”Characterization of GaN Schottky
barrier photodetectors with a low-temperature GaN cap layer”, J. Appl. Phys. 94,
1753 (2003).
102. Y. S. Ting, C. C. Chen, J. K. Sheu, G. C. Chi, and J. T. Hsu, “Electrical efficiency
analysis of GaN-based LEDs with interdigitated mesa geometry“, J. Electronic
Materials 32, 312 (2003).
103. F. Bresson, C. C. Chen, G. C. Chi, Y. W. Chen, ”Simplified sedimentation process
for 3D photonic thick layers/bulk crystals with a stop-band in the visible range”,
Applied Surface Science 217/1-4, 281 (2003).
104. C. H. Hou, M. H. Li, C. C. Chen, J. Y. Chang, J. K. Sheu, G. C. Chi, C. Wu, W. T.
Cheng, and J. H. Yeh, “GaN Diffractive Microlenses Using in UV Micro-Optics
System”, Optical Review 10, 287 (2003).
105. C. C. Lee , Y. C. Chang, J. Y. Chang, C. F. Chiu, and G. C. Chi, “Fabrication of
Optical Transmission Elements in an SiNx Membrane”, Microelectron. Eng. 69, 10
(2003).
106. C. C. Lee , Y. C. Chang, C. M. Wang, J. Y. Chang, and G. C. Chi, “Si-based
transmissive diffractive optical element”, Optics. Letters. 28, 1260 (2003).
107. Y. S. Ting, C. C. Chen, C. C. Lee, G. C. Chi, T. Chini, P. Chakraborty, H. W.
Chuang, J. S. Tsang, C. T. Kuo, W. C. Tsai, S. H. Chen, and J. I. Chyi, “Diffusion
表 C302
共 23 頁
第8頁
mechanism and photoluminescence of erbium in GaN”, Optical Materials 24/3, 515
(2003).
108. R. C. Tu, C. C. Chuo, S. M. Pan, Y. M. Fan, C. E. Tsai, T. C. Wang, C. J. Tun, G. C.
Chi, B. C. Lee, and C. P. Lee, ”Improvement of near-ultraviolet InGaN/GaN
light-emitting diodes by inserting an in situ rough SiNx interlayer in n-GaN layers”,
Appl. Phys. Lett. 83, 3608 (2003).
109. R. C. Tu, C. J. Tun, S. M. Pan, C. C. Chuo, J. K. Sheu, C. E. Tsai, T. C. Wang, and
G. C. Chi, “Improvement of near-ultraviolet InGaN/GaN light-emitting diodes with
an AlGaN electron-blocking layer grown at low temperature”, IEEE Photonics
Technology Letters 15, 1342 (2003).
110. R. C. Tu, C. J. Tun, S. M. Pan, H. P. Liu, C. E. Tsai, J. K. Sheu, C. C. Chuo, T. C.
Wang, G. C. Chi, and I. G. Chen, “Improvement of near-ultraviolet InGaN/GaN
light-emitting diodes through higher pressure grown underlying GaN layers”,
IEEE Photonics Technology Letters 15, 1050 (2003).
111. M. L. Lee, J. K. Sheu, Y. K. Su, S. J. Chang,W. C. Lai, and G. C. Chi, ”Reduction
of dark current in AlGaN/GaN Schottky barrier photodetectors with a
low-temperature-grown GaN cap layer”, IEEE Electron Device Letters 25, 593
(2004).
112. C. C. Chen, C. H. Hou, J. K. Sheu, J. Y. Chang, M. H. Li, G. C. Chi, and C. Wu,
“Grating in GaN Membranes”, Jpn. J. Appl. Phys. 43, 5854 (2004).
113. C. J. Pan, G. C. Chi, B. J. Pong, J. K. Sheu and J. Y. Chen, “Si Diffusion in p-GaN”,
J. Vac. Sci. Technol. B 22, 1727 (2004).
114. C. J. Kao, J. K. Sheu, W. C. Lai, M. L. Lee, M. C. Chen, and G. C. Chi, “Effect of
GaN cap layer grown at a low temperature on electrical characteristics of
Al0.25Ga0.75N/GaN heterojunction field-effect transistors”, Appl. Phys. Lett. 85,
1430 (2004).
115. R. C. Tu, C. J. Tun, C. C. Chuo, B. C. Lee, C. E. Tsai, T. C. Wang, J. Chi, C. P. Lee,
and G. C. Chi, “Ultra-high-density InGaN quantum dots grown by metalorganic
chemical vapor deposition”, Jpn J. Appl. Phys. 43, L264 (2004).
116. C. J. Pan, C. W. Tu, J. J. Song, G. Cantwell, C. C. Lee, B. J. Pong, and G. C. Chi,
“Photoluminescence of ZnO films grown by plasma-assisted molecular beam
epitaxy”, J. Cryst. Growth 282, 112 (2005).
117. K. Ip, R. Khanna, D. P. Norton, S. J. Pearton, F. Ren, I. Kravchenko, C. J. Kao, and
G. C. Chi, “Improved Thermal Stability CrB2 Contacts on ZnO”, Jpn. J. Appl. Phys.
44, 7291 (2005).
118. H. S. Yang, S. Y. Han, Y. W. Heo, K. H. Baik, D. P. Norton, S. J. Pearton, F. Ren, A.
Osinsky, J. W. Dong, B. Hertog, A. M. Dabiran, P. P. Chow, L. Chernyak, T. Steiner,
C.
J.
Kao,
and
G.
C.
Chi,
“Fabrication
of
Hybrid
表 C302
共 23 頁
第9頁
n-ZnMgO/n-ZnO/p-AlGaN/p-GaN Light-Emitting Diodes”, Jpn. J. Appl. Phys. 44,
7296 (2005).
119. J. K. Sheu, S. S. Chen, M. L. Lee, W. C. Lai, and G. C. Chi, “Effects of Thermal
Annealing on Si-Implanted GaN Films Grown at Low Temperature by
Metallorganic Vapor Phase”, Journal of The Electrochemical Society 152, G813
(2005).
120. J. K. Sheu, M. L. Lee, W. C. Lai, H. C. Tseng, and G. C. Chi, “Rectifying
characteristics of WSi0.8-GaN Schottky barrier diodes with a GaN cap layer grown
at low temperature”, J. Appl. Phys. 98, 036106 (2005).
121. C. Y. Chang, G. C. Chi, W. M. Wang, L. C. Chen, K. H. Chen, F. Ren, and S. J.
Pearton, “Transport properties of InN nanowires”, Appl. Phys. Lett. 87, 093112
(2005).
122. C. J. Kao, Y. W. Kwon, Y. W. Heo, D. P. Norton, S. J. Pearton, F.Ren, and G. C. Chi,
“Comparison of ZnO metal-oxide-semiconductor field effect transistor and
metal-semiconductor field effect transistor structures grown on sapphire by pulsed
laser deposition”, J. Vac. Sci. Technol. B 23, 1024 (2005).
123. K. Ip, R. Khanna, D. P. Norton, S. J. Pearton, F. Ren, I. Kravchenko, C. J. Kao, and
G. C. Chi, “Thermal Stability of W2B and W2B5 Contacts on ZnO”, Appl. Surf. Sci.
252, 1846 (2005).
124. R. Khanna, S. J. Pearton, F. Ren, I. Kravchenko, C. J. Kao, and G. C. Chi,
“W2B-Based Ohmic Contacts to n-GaN”, Appl. Surf. Sci. 252, 1826 (2005).
125. C. J. Pan, W. M. Wang, C. W. Tu, C. J. Tun, and G. C. Chi, “Heteroepitaxial ZnO
films grown by plasma-assisted molecular beam epitaxy”, Journal of Taiwan
Vacuum Society 18, 82 (2005).
126. C. J. Tun, J. K. Sheu, B. J. Pong, M. L. Lee, M. Y. Lee, C. K. Hsieh, C. C. Hu, and
G. C. Chi, “Enhanced light output of GaN-based power LEDs with transparent
Al-doped ZnO current spreading layer”, IEEE Photonics Technology Letters 18,
274 (2006).
127. C. J. Tun, J. K. Sheu, M. L. Lee, C. C. Hu, C. K. Hsieh, and G. C. Chi, “Effects of
thermal annealing on Al-doped ZnO films deposited on p-type gallium nitride”,
Journal of The Electrochemical Society 153, G296 (2006).
128. C. J. Pan, B. J. Pong, B. W. Chou, G. C. Chi, and C. W. Tu, “Photoluminescence of
nitrogen-doped ZnO”, Phys. Status Solidi C 3, 611 (2006).
129. C. H. Hou, C. C. Chen, B. J. Pong, M. H. Li, G. C. Chi, N. C. Chen, C. F. Shih, and
P. H. Chang, “GaN-based stacked micro-optics system”, Appl. Opt. 45, 2396
(2006).
130. C. Y. Chang, F. C. Tsao, C. J. Pan, G. C. Chi, H. T. Wang, J. J. Chen, F. Ren, D. P.
Norton, S. J. Pearton, K. H. Chen, and L. C. Chen, “Electroluminescence from ZnO
表 C302
共 23 頁
第 10 頁
nanowire/polymer composite p-n junction”, Appl. Phys. Lett. 88, 173503 (2006).
131. C. H. Kuo, S. J. Chang, G. C. Chi, K. T. Lam, and Y. S. Sun, “Nitride-based light
emitting diodes with quaternary p-AlInGaN surface layers”, Phys. Status Solidi C
3, 2153 (2006).
132. C. Y. Chang, G. C. Chi, W. M. Wang, L. C. Chen, K. H. Chen, F. Ren, and S. J.
Pearton, “Electrical transport properties of single GaN and InN nanowires”, J.
Electron. Mater. 35, 738 (2006).
133. G. W. Shu, P. F. Wu, Y. W. Liu, J. S. Wang, J. L. Shen, T. Y. Lin, P. J. Pong, G. C.
Chi, H. J. Chang, Y. F. Chen, and Y. C. Lee, “Effects of rapid thermal annealing on
the optical and electrical properties of InN epilayers”, J. Phys.: Condens. Matter
18, L543 (2006).
134. M. C. Chen, J. K. Sheu, M. L. Lee, C. J. Kao, C. J. Tun, and G. C. Chi, “Planar
ultraviolet photodetectors formed by Si implantation into p-GaN”, Journal of The
Electrochemical Society 153, G799 (2006).
135. M. C. Chen, J. K. Sheu, M. L. Lee, C. J. Kao, and G. C. Chi, “Planar GaN p-i-n
photodiodes with n+-conductive channel formed by Si implantation”, Appl. Phys.
Lett. 88, 203508 (2006).
136. M. C. Chen, J. K. Sheu, M. L. Lee, C. J. Tun, and G. C. Chi, “Improved
performance of planar GaN-based p-i-n photodetectors with Mg-implanted
isolation ring”, Appl. Phys. Lett. 89, 183509 (2006).
137. C. H. Kuo, C. W. Kuo, C. M. Chen, B. J. Pong, and G. C. Chi, “Nitride-based
light-emitting diodes with p-AlInGaN surface layers prepared at various
temperatures”, Appl. Phys. Lett. 89, 191112 (2006).
138. C. H. Kuo, C. M. Chen, C. W. Kuo, C. J. Tun, C. J. Pan, B. J. Pong, and G. C. Chi,
“Improvement of near-ultraviolet nitride-based light emitting diodes with mesh
indium tin oxide contact layers”, Appl. Phys. Lett. 89, 201104 (2006).
139. C. Y. Chang, T. W. Lan, G. C. Chi, L. C. Chen, K. H. Chen, J. J. Chen, S. Jang, F.
Ren, and S. J. Pearton, “Effect of ozone cleaning and annealing on Ti/Al/Pt/Au
ohmic contacts on GaN nanowires”, Electrochem. Solid State Lett. 9, G155 (2006).
140. C. Y. Chang, S. J. Pearton, P. J. Huang, G. C. Chi, H. T. Wang, J. J. Chen, F. Ren, K.
H. Chen, and L. C. Chen, “Control of nucleation site density of GaN nanowires”,
Appl. Surf. Sci. 253, 3196 (2007).
141. C. H. Kuo, H. C. Feng, C. W. Kuo, C. M. Chen, L. W. Wu, and G. C. Chi,
“Nitride-based near-ultraviolet light emitting diodes with meshed p-GaN”, Appl.
Phys. Lett. 90, 142115 (2007).
142. C. J. Tun, C. H. Kuo, Y. K. Fu, C. W. Kuo, C. J. Pan, and G. C. Chi, “Dislocation
reduction in GaN with multiple MgxNy/GaN buffer layers by metal organic
chemical vapor deposition”, Appl. Phys. Lett. 90, 212109 (2007).
表 C302
共 23 頁
第 11 頁
143. C. J. Pan, C. W. Tu, C. J. Tun, C. C. Lee, and G. C. Chi, “Structural and optical
properties of ZnO epilayers grown by plasma-assisted molecular beam epitaxy on
GaN/sapphire (0001)”, J. Cryst. Growth 305, 133 (2007).
144. M. D. Yang, J. L. Shen, M. C. Chen, C. C. Chiang, S. M. Lan, T. N. Yang, M. H.
Lo, H. C. Kuo, T. C. Lu, P. J. Huang, S. C. Hung, G. C. Chi, and W. C. Chou,
“Optical studies of InN epilayers on Si substrates with different buffer layers”, J.
Appl. Phys. 102, 113514 (2007).
145. J. Y. Chen, Y. M. Liao, C. C. Lee, and G. C. Chi, “Fabrication of low-stress SiNxHy
membranes deposited by PECVD”, J. Electrochem. Soc. 154, D227 (2007).
146. S. Y. Wu, J. Y. Ji, M. H. Chou, W. H. Li, and G. C. Chi, “Low-temperature phase
separation in GaN nanowires: An in situ x-ray investigation”, Appl. Phys. Lett. 92,
161901 (2008).
147. J. Y. Chen, G. C. Chi, P. J. Huang, M. Y. Chen, S. C. Hung, C. H. Nien, M. C. Chen,
S. M. Lan, B. J. Pong, C. J. Pan, C. J. Tun, F. Ren, C. Y. Chang, and S. J. Pearton,
“Microstructure of InN quantum dots grown on AlN buffer layers by metal organic
vapor phase epitaxy”, Appl. Phys. Lett. 92, 162103 (2008).
148. S. C. Hung, Y. L. Wang, B. Hicks, S. J. Pearton, D. M. Dennis, F. Ren, J. W.
Johnson, P. Rajagopal, J. C. Roberts, E. L. Piner, K. J. Linthicum, and G. C. Chi,
“Detection of chloride ions using an integrated Ag/AgCl electrode with
AlGaN/GaN high electron mobility transistors”, Appl. Phys. Lett. 92, 193903
(2008).
149. F. C. Tsao, J. Y. Chen, C. H. Kuo, G. C. Chi, C. J. Pan, P. J. Huang, C. J. Tun, B. J.
Pong, T. H. Hsueh, C. Y. Chang, S. J. Pearton, and F. Ren, “Residual strain in ZnO
nanowires grown by catalyst-free chemical vapor deposition on GaN/sapphire
(0001)”, Appl. Phys. Lett. 92, 203110 (2008).
150. W. C. Lai, J. K. Sheu, Y. K. Fu, C. H. Kuo, C. W. Kuo, C. J. Tun, C. J. Pan, and G.
C. Chi, “Four-wavelengths-mixed white light emitting diodes with
dual-wavelength-pumped green and red phosphors”, Jpn. J. Appl. Phys. 47, 6317,
(2008).
151. S. C. Hung, Y. L. Wang, B. Hicks, S. J. Pearton, F. Ren, J. W. Johnson, P.
Rajagopal, J. C. Roberts, E. L. Piner, K. J. Linthicum, and G. C. Chi, “Integration
of selective area anodized AgCl thin film with AlGaN/GaN HEMTs for Chloride
ion detection”, Electrochemical and Solid-State Letters 11, H241, (2008).
152. C. H. Kuo, C. L. Yeh, P. H. Chen, W. C. Lai, C. J. Tun, J. K. Sheu, and G. C. Chi,
“Low operation voltage of nitride-based LEDs with Al-doped ZnO transparent
contact layer”, Electrochemical and Solid-State Letters 11, H269, (2008).
153. S. C. Hung, P. J. Huang, C. E. Chan, W. Y. Uen, F. Ren, S. J. Pearton, T. N. Yang, C.
C. Chiang, S. M. Lan, and G. C. Chi, “Nanostructured surface morphology of ZnO
grown on p-type GaN and Si by metal organic chemical vapor deposition”, Appl.
Suf. Sci. 255, 3016 (2008).
表 C302
共 23 頁
第 12 頁
154. Y. K. Fu, C. H. Kuo, C. J. Tun, W. C. Lai, G. C. Chi, C. J. Pan, M. C. Chen, H. F.
Hong, and S. M. Lan, “Self-assembled InN dots grown on GaN with an
In0.08Ga0.92N intermediate layer by metal organic chemical vapor deposition”, J.
Cryst. Growth 310, 4456 (2008).
155. K. I. Lin, J. T. Tsai, T. S. Wang, J. S. Hwang, M. C. Chen, and G. C. Chi, “Drift
current dominated terahertz radiation from InN at low-density excitation”, Appl.
Phys. Lett. 93, 262102 (2008).
156. P. J. Huang, C. W. Chen, J. Y. Chen, G. C. Chi, C. J. Pan, C. C. Kuo, L. C. Chen, C.
W. Hsu, K. H. Chen, S. C. Hung, C. Y. Chang, S. J. Pearton, and F. Ren, “Optical
and structural properties of Mg ion implanted GaN nanowires”, Vacuum 83, 797
(2009).
157. S. M. Lan, W. Y. Uen, C. E. Chan, K. J. Chang, S. C. Hung, Z. Y. Li, T. N. Yang, C.
C. Chiang, P. J. Huang, M. D. Yang, G. C. Chi, C. Y. Chang, “Morphology and
optical properties of zinc oxide thin films grown on Si (100) by metal-organic
chemical vapor deposition”, Journal of Materials Science-Materials in Electronics
20, S441 (2009).
158. S. C. Hung, B. H. Chou, C. Y. Chang, C. F. Lo, K. H. Chen, Y. L. Wang, S. J.
Pearton, A. Dabiran, P. P. Chow, G. C. Chi, and F. Ren, “Minipressure sensor using
AlGaN/GaN high electron mobility transistors”, Appl. Phys. Lett. 94, 043903
(2009).
159. C. J. Pan, J. Y. Chen, G. C. Chi, B. W. Chou, B. J. Pong, F. Ren, C. Y. Chang, and S.
J. Pearton, “Optical investigation of nitrogen ion implanted bulk ZnO”, Vacuum 83,
1073 (2009).
160. J. Y. Chen, C. J. Pan, F. C. Tsao, C. H. Kuo, G. C. Chi, B. J. Pong, C. Y. Chang, D.
P. Norton, and S. J. Pearton, “Characterization of ZnO nanowires grown on Si (100)
with and without Au catalyst”, Vacuum 83, 1076 (2009).
(B) Conference Papers
1. G. C. Chi and G. S. Cargill, “Perpendicular Anisotropy of Amorphous,
Electrodeposited Cobalt-Phosophorus Alloy Films”, AIP Conference Proceedings,
No.29, 147-148 (1976).
2. G. C. Chi and G. S. Cargill, “Radial Distribution Functions for Amorphous Co-P
Alloys”, AIP Conference Proceedings, No.31, 359-364 (1976).
3. R. Cochran and G. C. Chi et al., “Hyperfind Field Studies of Amorphous Co-P
Alloys”, AIP Conference Proceedings, No.31, 390-396 (1976).
4. G. C. Chi and F. W. Ostermayer, “Micron Features in III-V Materials by
Photoelectrochemical Etching of Focused Ion induced Beam Damage Patterns”,
SPIE Proceedings, No.11, 632-635 (1986).
5. M. Thakur and G. C. Chi, “Some Fundamental Aspects of the Thin Film
Organization and Device Structure Fabrication of Polydiacetylenes”, Mat. Res. Soc.
表 C302
共 23 頁
第 13 頁
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.
18.
Symp. Proc. Vol. 109, 41 (1988).
G. C. Chi, Invited Paper, “Plannar InP/InGaAsP Avalanche Photodiode Fabricat
with a Novel Photoelectrochemical Etching Technology”, SPIE's International
Optoelectronics and Laser Applications Conference, Conf. Proc. Vol. 988, 84-89
(1988).
M. Thakur and G. C. Chi, “Growth and Nonlinear Optical Properties of Single
Crystal Films of Ploydiacetylenes”, SPIE conference on “Growth and Nonlinear
Optical Properties of Materials”, Florida (1989).
G. C. Chi, “Multi-Mode Capped-Mesa Buried-Heterostructure Laser Diode with
Reduced Contact and Etched Trench”, European Materials Research Conference,
May, 1991. Proc. “Non-Stoichiometry in Semiconductors”, p.173-178 Published
Elsevier (1992).
G. C. Chi, Invited paper, “GaAs/AlGaAs Quantum Well Infrared Detector Arrays
with Integral Silicon Grating”, 1st. Pacific Rim International Conference on
Advanced Materials and Processing Hangzhou, China June 23-27 (1992).
C. Juang and G. C. Chi, “Energy States of Finite-Barrier Quantum Wire in the
Presence of an External Electric Field”, Conference Proc. on Quantum Electronic
and Laser Science 1991, Baltimore, Paper No.QWD16.
G. C. Chi and M. C. Hong, “A Tri-Layer Photoresist Mask to Form Guard-Rings
for Planar Avalanche Photodiodes”, Conference Proc. the Chinese Society for
Materials Science, p.368-369 April 1991, Tainan, Taiwan.
M. C. Hong and G. C. Chi, “Etching Residue and Polymer Formation During a
Trilayer Process by Reactive Ion Etching”, 8th International Conference Ion &
Plasma Assisted Techniques, Conf. Proc. May, 1991, p.165-170
K. S. Tin and G. C. Chi, “CHF3 and NH3 Additives for Reactive Ion Etching of
GaAs Using CCl2F2 and SiCl4”, Mat. Res. Soc. Symp. Proc. Vol. 240, p.373-378,
(1992) Boston U.S.A.
K. S. Tin and G. C. Chi, “Investigation of GaAs Deep Etching by Using Reactiv
Ion Etching Technique”, Mat. Res. Soc. Symp. Proc. Vol. 240, p.367-372, (1992)
Boston, U.S.A.
C. H. Wang, M. S. Feng, and G.C. Chi, “Spin-on Glass for the Semiconductor
Processing Applications”, Proc. 4-5,6, 1993 Annual Conf. of the Chinese Soc. for
Mat. Sci.
T. S. Wu, G. C. Chi et al., “Fabrication of Silica Optical Channel Waveguide by
Reactive Ion Etching”, Proc. 4-109, 110, 1993 Annual conf. of the Chinese Soc. for
Mat. Sci.
W. C. Hong, Y. K. Su, and G. C. Chi, “Investigatio of Current Speading Effects in
AlGaInP LED by Numerical Calculation and Experiments”, Proc.4-117, 118, 1993
Annual Conf. of the Chinese Soc. for Mat. Sci.
S. W. Chiu, Y. K. Su, and G. C. Chi, “Brightness Improvement of Visible Light
表 C302
共 23 頁
第 14 頁
Emitting Diodes Using AlGaIn/PAlInP and GaAs/AlInP Bragg Reflectors”,
Proceedings of International Conference on Electronic Devices & Materials, July,
1994 Hsinchu, Taiwan.
19. M. S. Feng, J. D. Kuo, and G. C. Chi, “Growth and Characterization of
20.
21.
22.
23.
24.
25.
26.
27.
28.
29.
30.
GaN/InGaN By Low Pressure Metalorganic Chemical Vapor Deposition”, invited
paper, Electrochmical society proceedings vol.95-21, p. 43 (1995).
M. S. Tsai, T. Y. Lin, C. F. Huang, C. F. Lin, G. C. Chi, Y. F. Chen, and F. F. Fang,
“2DEG in GaN/AlGaN Heterostructures”, Optoelectronics Workshop, Hsinchu
1996.
W. H. Kuo and G. C. Chi, “Luminescence Mechanism of InGaN/AlGaN
Double-Heterostructure Light- Emitting Diodes”, Taiwan Physical Society Annual
meeting, Taipei, 1996.
G. C. Chi, C. F. Lin, and M. S. Feng, “Observation of a Two-Dimensional Electron
Gas in the AlGaN/GaN on SiC Substrates”, Mat. Res. Soc. symp. Proc. Vol.449,
627 (1997) Boston, U.S.A.
G. C. Chi, Invited Paper, “Material Growth and Processing on GaN Epitaxial
Films”, Taiwan MRS. Annual Meeting, Taiwan 1997.
G. C. Chi, B. J. Pong, C. J. Pan, and Y. C. Teng, “Characterizations of Mg
Implanted GaN”, MRS. Symp. Proc. Vol. 482 (1997) Boston, U.S.A.
J. K. Sheu, Y. K. Su, G. C. Chi, M. J. Jou, C. M. Chang, C. C. Liu, and W. C. Hung,
“High-Transparency Ni/Au Ohmic Contact to P-type GaN” The Second
International Symposium on Blue Laser and Light Emitting Diodes Chiba, Japan
Sept. 1998.
C. C. Yang, G. C. Chi, C. K. Huang, and M. C. Wu, “The Improvement of GaN
Epitaxial Layers Quality by Use of Separate-Flow Reactor”, Proceedings of the
1998 International Photonics Conference, T-S1-B2, 374-376 (1998), Taipei,
Taiwan.
J. K. Sheu, S. J. Chang, Y. K. Su, G. C. Chi, M. J. Jou, C. C. Liu, C. M. Chang, W.
C. Hung, and I. P. Huang, “Inductively Coupled Plasma Etching of GaN Using
Cl2/N2 Gas”, Proceedings of International Conference on Electronic Devices and
Materials (IEDM’98), B1-6-P26, Dec. (1998), Tainan, Taiwan.
C. C. Chang, G. C. Chi, and J. R. Dun, “Formation of p-type Gallium Nitride with
Zn Ion Implantation”, Invited paper, Electrochemical Society Proceeding,
Vol.99-17, p.88 (1999) The 1999 Joint International Meeting in Hawaii, USA.
C. C. Yang, M. C. Wu, Y. C. Hung, and G. C. Chi, “Growth Mechanism in the
Multiple Buffer Layer of GaN on Sapphire by Organometallic Vapor Phase
Epitaxy”, Electrochemical Society Proceeding, Bol.99-17, p.20 (1999) The 1999
Joint International Meeting in Hawaii, USA.
J. K. Sheu, G. C. Chi, and M. J. Jou, “Characteristics of an InGaN/GaN multiple
quantum well light-emitting diode”, Symposium W, MRS 1999 Fall Meeting, CA,
表 C302
共 23 頁
第 15 頁
USA.
31. Y. K. Su, G. C. Chi, and J. K. Sheu, “Optical properties in InGaN/GaN
multi-quantum wells and blue LEDs”, IUMRS-ICA, July, 1999, Bejjing, China
(invited presentation)
32. G. C. Chi, J. K. Sheu, and M. J. Jou, “Growth and Characterization of InGaN/GaN
Multi-Quantum Well Blue light-emitting Diodes”, SPIE’s Optoelectronics Jan, 2000,
San Jose, CA, USA (invited presentation).
33. Y. K. Su, J. K. Sheu, G. C. Chi, and M. J. Jou, “High performance GaN-based
Multi-Quantum Well Blue light-emitting Diodes”, International conference on
electronic materials & European materials research society spring meeting, May,
2000 Strasbourg, France (invited presentation).
34. G. C. Chi, J. K. Sheu and M. J. Jou, ”InGaN/GaN multi-quantum well
light-emitting diodes grown by low-pressure metalorganic vapor phase epitaxy”,
IUMRS, ICA, July, 2000, Hong Kong (invited presentation).
35. J. K. Sheu, G. C. Chi, C. C. Chen, C. C. Liu, C. M. Chang and M. J.
Jou, ”Improved electrical property of InGaN/GaN light-emitting diodes by using a
Mg-doped AlGaN/GaN superlattices”, International Workshop on Nitride
Semiconductors, Sep. 2000, Nagoya, Japan.
36. C. H. Kuo, J. K. Sheu, G. C. Chi, Y. L. Huang , and T.W. Yeh, ”Low-resistance
Ni/Au ohmic contact to Mg-doped of Al0.15Ga0.85N/GaN superlattices”, Proceedings
of International Conference on Electronic Devices and Materials (IEDM’00) Dec.
2000, Chung-Li, Taiwan.
37. C. C. Lee, L. W. Wu, and G. C. Chi, ”Activation analysis of rapid thermally
annealed Si and Mg co-implanted semi-insulating GaAs”, E-MRS-IUMRS-ICEM
2000.
38. L. W. Wu, C. C. Lee, G. C. Chi, Y. M. Hsin, Chia-Jen, K. P. Huseh, ”Ion
implantation technology for improved GaAs MESFETs performance”, Proceedings
of International Conference on Electronic Devices and Materials (IEDM’00) Dec.
2000, Chung-Li, Taiwan.
39. C. C. Chen, H. W. Chuang, G. C. Chi, C. C. Chuo, and J. I. Chyi,
“Optical-pumping spectra for InxGa1-xN/GaN multiple quantum well structures
with indium content x>0.35”, Proc. of Photonics Taiwan 2000, 4078-06.
40. C. C. Chen, H. W. Chuang, G. C. Chi, C. C. Chuo, and J. I. Chyi,
“Conduction-band discontinuity of high indium composition InGaN/GaN multiple
quantum well structures measured by optical pumping”, Proc. of Europe Material
Research Society 2000, C-IV-6, Strasbourg, France.
41. C. C. Chen, H. W. Chuang, G. C. Chi, C. C. Chuo, J. I. Chyi, and C. A. Chang,
“Band offset parameter of the InGaN/GaN heterojunction”, International
表 C302
共 23 頁
第 16 頁
Workshop on Nitride Semiconductors, 2000, Nagoya, Japan.
42. C. C. Chen, K. L. Hsieh, G. C. Chi, C. C. Chuo, J. I. Chyi, C. A. Chang, “Optical
Pumping Spectra of InGaN/GaN Quantum Well”, International Photonics
Conference, HsinTsu, Taiwan, 2000.
43. Y. P. Liang, C. C. Chen, J. Y. Chang, and G. C. Chi, “Characteristics of Refractive
Microlenses Fabricated by Melted
Conference, HsinTsu, Taiwan, 2000.
Photoresist”,
International
Photonics
44. C. C. Chen, K. L. Hsieh, G. C. Chi, C. C. Chuo, J. I. Chyi, and C. A. Chang,
“Thermal annealing effects on stimulated emission of high-indium-content
InGaN/GaN single quantum well structure”, International Electron Devices and
Materials Symposia, Chung-Li, Taiwan, 2000.
45. L. Y. Chien, J. Y. Chen, C. C. Chen, G. C. Chi, J. Y. Chang, “Fabrication and
testing of diffractive microlenses with gray-scal mask”, International Electron
Devices and Materials Symposia, Chung-Li, Taiwan, 2000.
46. T. H. Hsueh, C. C. Chen, L. S. Yeh, C. C. Lee, G. C. Chi, J. K. Sheu, and C. A.
Chang, “Piezoelectric field in InGaN/GaN multiple quantum well structure”,
International Electron Devices and Materials Symposia, Chung-Li, Taiwan, 2000.
47. K. L. Hsieh, C. C. Chen, C. C. Lee, J. K. Sheu, G. C. Chi, C. C. Chuo, J. I. Chyi,
and C. A. Chang, “Spatially and spectrally resolved spectrum of GaN-based
quantum well structures”, International Electron Devices and Materials Symposia,
Chung-Li, Taiwan, 2000.
48. C. C. Chen, K. L. Hsieh, J. K. Sheu, G. C. Chi, M. J. Jou, C. H. Lee, and M. Z. Lin,
“Dependence of optical gain on direction of optically pumped cavity on
(0001)-plane for InGaN/GaN multiple quantum well structure”, Proc. of Europe
Material Research Society 2001, H/PI.06, Strasbourg, France.
49. C. C. Chen, K. L. Hsieh, J. K. Sheu, G. C. Chi, M. J. Jou, C. H. Lee, and M. Z. Lin,
“Optical Gain of Optically Pumped Cavity on (0001)-plane for GaN-based
Multiple Quantum Well Structures”, EDMS 2001, Kaohsung, Taiwan, 2001.
50. J. K. Sheu, C. J. Tun, C. J. Kao, G. C. Chi, J. M. Tsai, and S. C. Shei, “InGaN/GaN
light-emitting diodes with Si-doped In0.23Ga0.77N/GaN short-period superlattice
tunneling contact layer”, EDMS 2001, Kaohsung, Taiwan, 2001.
51. J. K. Sheu, R. C. Tu, C. J. Tun, C. J. Kao, and G. C. Chi, “InGaN/GaN
light-emitting diodes with Si-doped In0.23Ga0.77N/GaN short-period superlattice
tunneling contact layer”, International Symposium on Blue Laser and Light
Emitting Diodes, Cordoba, Spain, Mar. (2002)
52. C. C. Chen, C. C. Lee, J. Y. Cheng, Y. L. Huang, C. Y. Chang, M. H. Li, Y. S.
Huang, L. Y. Chien, J. Y. Chang, G. C. Chi, W. T. Cheng, J. H. Yeh, and C. Wu,
“Microlens and micromirror for optical MEMS fabricated by e-beam
表 C302
共 23 頁
第 17 頁
photolithography and ICP etching”, OC2002, Taipei, Taiwan, p. 322, 2002.
53. C. C. Chen, F. Bresson, C. C. Lee, J. Y. Cheng, Y. L. Huang, C. Y. Chang, J. Y.
Chang, G. C. Chi, and Y. W. Chen, “Defect in 3-D photonic crystal formed by
self-organization sedimentation”, OC2002, Taipei, Taiwan, p. 71, 2002.
54. C. C. Chen, M. H. Li, C. Y. Chang, G. C. Chi, J. Y. Chang, W. T. Cheng, J. H. Yeh,
and C. Wu, “Fabrication of high-NA GaN diffractive microlenses”, Optical MEMS,
Lugano, Switzerland, WP3, 2002.
55. C. C. Chen, M. H. Li, C. Y. Chang, G. C. Chi, J. Y. Chang, W. T. Cheng, J. H. Yeh,
C. Wu, and T. Ito, ”GaN diffractive microlens”, ODF 2002, Tokyo, Japan.
56. M. H. Li, C. C. Chen, and G. C. Chi, “The GaN diffractive microlenses”, OPT2002,
Taipei, 2002.
57. T. Y. Sheng, C. C. Chen, J. K. Sheu, G. C. Chi, and J. T. Hsu, “Efficiency analysis
of GaN-based LED with interdigitated mesa geometry”, IEDMS 2002, Taipei,
2002.
58. C. C. Lee, Y. C. Chang, J. Y. Chang, C. F. Chiu, and G. C. Chi, “The Fabrication of
Optical Transmission Elements in an SiNx Membrane”, OPT 2002.
59. L. S. Yeh, M. L. Lee, J. K. Sheu, M. G. Chen, C. J. Kao, G. C. Chi, S. J. Chang, and
Y. K. Su, “Visible-blind GaN p-i-n photodiodes with an Al0.12Ga0.88N/GaN
superlattice structure”, OPT 2002, Taipei, Taiwan, 2002.
60. C. C. Lee , J. Y. Chang, Y. C. Chang, and G. C. Chi, “A Novel
Si-based Stacked Free Space pick-up head And Holographic Optical
Element”, CLEO 2003.
61. C. Y. Chang, J. Y. Chen, B. J. Pong, C. C. Lee, H. C. Tseng, Y. M. Liao, W. F. Chiu,
C. H. Nien, and G. C. Chi, “Electrical characteristics of silver nanowires”,
International symposium on nano science and technology, C-P-01, Tainan, Taiwan,
4-7 November 2003.
62. J. K. Sheu, G. C. Chi, and Y. K. Su “GaN-based visible-blind photodetectors”, First
Asia-pacific workshop on widegap semiconductors, (APWS-2003), March
9-12,2003, Hyogo, Japan.
63. C. J. Kao, J. K. Sheu, M. C. Chen, M. L. Lee, C. J. Tun, and G. C. Chi, ”Low-dark
current, high-sensitivity GaN MSM UV photodetectors with low-temperature GaN
insulator layer”, EDMS 2003, Keelung, Taiwan, 2003.
64. C. J. Kao, Y. W. Heo, D. P. Norton, S. J. Pearton, F. Ren, and G. C. Chi,
“Comparison of ZnO MOSFET and MESFET Structures Grown on Sapphire by
Pulsed Laser Deposition”, 2004 MRS Fall Meeting, Boston, U.S.A, 2004.
65. R. Khanna, S. J. Pearton, F. Ren, I. Kravchenko, C. J. Kao, and G. C. Chi,
“W2B–Based Ohmic Contacts to n-GaN”, 2004 MRS Fall Meeting, Boston, U.S.A,
2004.
66. C. J. Tun, J. K. Sheu, C. C. Chou, and G. C. Chi, “Si-doped In0.23Ga0.77N/GaN
表 C302
共 23 頁
第 18 頁
short-period superlattice tunneling contact layer used on InGaN/GaN laser diode”,
206th Meeting of the Electrochemical Society, Hawaii, USA (2004).
67. M. C. Chen, J. K. Sheu, M. L. Lee, C. J. Kao, C. J. Tun, and G. C. Chi, “Planar
GaN-based UV photodetectors formed by Si implantation”, 206th Meeting of the
Electrochemical Society, Hawaii, USA (2004).
68. C. Y. Chang, K. H. Chen, L. C. Chen, B. J. Pong, C. W. Hsu, and G.C. Chi, “The
temperature-dependent photoluminescence study of GaN nanowires”, Annual
Meeting of Physical Society, National Tsing-Hua University, Taiwan 2004
69. S. J. Pearton, D. P. Norton, Y. W. Heo, L. C. Tien, M. P. Ivill, Y. Li, Sang Youn Han,
B. S. Kang, F. Ren, J. Kelly, A. F. Hebard, C. J. Kao, and G. C. Chi, “Electronics
Division Award Address-ZnO Spintronics and Nanowire Devices”, Invited talk at
the SOTAPOCS 42 symposium, ECS meeting, Quebec City, Canada, 2005.
70. K. Ip, K. Rohit, D. Norton, S. Pearton, F. Ren, I. Kravchenko, C. J. Kao, and G. C.
Chi, “Thermal Stability of Tungsten-Based Schottky Contacts to N-Type ZnO”,
2005 the 207th Meeting of The Electrochmical Society, Quebec, Canada, 2005.
71. C. J. Kao, Y. W. Heo, D. P. Norton, S. J. Pearton, F. Ren, and G. C. Chi,
“ZnO-Based MOSFET and MESFET Grown on Sapphire”, 2005 Annual Joint
Symposium Florida Chapter of the AVS Science and Technology Society (FLAVS),
Orlando, U.S.A., 2005.
72. L. Voss, K. Ip, R. Khanna, C. J. Kao, I. Kravchenko, B. S. Kang, F. Ren, Y. W. Heo,
D. P. Norton, G. C. Chi, and S. J. Pearton, “High Thermal Stability W2B Ohmic
Contacts to ZnO Ozone and pH Sensors”, 2005 MRS Spring Meeting, San
Francisco, U.S.A, 2005.
73. C. J. Pan, C. W. Tu, J. J. Song, G. Cantwell, C. C. Lee, B. J. Pong, and G. C. Chi,
“Optical properties of homoepitaxial and heteroepitaxial ZnO grown by molecular
beam epitaxy”, SPIE Photonics West, San Jose, California, USA, 22-27 January
(2005).
74. R. Khanna, C. J. Kao, I. Kravchenko, F. Ren, G. C. Chi, S. J. Pearton, A. Dabiran,
and A. Osinsky, “High Thermal Stability W2B Ohmic Contacts to GaN”, accepted
by 2005 MRS, USA.
75. C. J. Pan, W. M. Wang, C. W. Tu, C. J. Tun, and G. C. Chi, “Heteroepitaxial ZnO
films grown by plasma-assisted molecular beam epitaxy”, MBE Taiwan 2005,
Hsinchu, Taiwan, 19-20 May (2005).
76. C. J. Pan, W. M. Wang, C. W. Tu, J. J. Song, G. Cantwell, and G. C. Chi,
“Characteristics of ZnO epilayers grown by plasma-assisted molecular beam
epitaxy on GaN/sapphire (0001)”, 47th Electronic Materials Conference, Santa
Barbara, California, USA, 22-24 June (2005).
77. C. J. Pan, B. J. Pong, B. W. Chou, G. C. Chi, and C. W. Tu, “Photoluminescence of
nitrogen-doped ZnO”, 32nd International Symposium
Semiconductors, Rust, Germany, 18-22 September (2005).
表 C302
on
共 23 頁
Compound
第 19 頁
78. B. J. Pong, B. W. Chou, C. J. Pan, and G. C. Chi, “Optical properties of phosphor
ion implanted ZnO”, SPIE Photonics West, San Jose, California USA, 21-26
January (2006).
79. C. J. Tun, J. K. Sheu, B. J. Pong, M. L. Lee, M. Y. Lee, C. K. Hsieh, C. C. Hu, and
G. C. Chi, “Applications of transparent Al-doped ZnO contact on GaN-based
power LED”, SPIE Photonics West, San Jose, California, USA, 21-26 January
(2006).
80. F. C. Tsao, B. J. Pong, C. J. Pan, C. H. Kuo, and G. C. Chi, “Characterizations of
ZnO nanowires grown with and without Au catalyst”, 2006 International
Conference on Silicon Electronics and Photonics, Apr. 1-2, Taipei, Taiwan (2006).
81. P. J. Huang, B. J. Pong, C. Y. Chang, C. C. Yang, and G. C. Chi, “The structure
characteristics of GaN nanowires in the low temperature”, 2006 International
Conference on Silicon Electronics and Photonics, Apr. 1-2, Taipei, Taiwan (2006).
82. F. C. Tsao, B. J. Pong, C. H. Kuo, C. J. Pan, and G. C. Chi, “Photoluminescence
blueshift of ZnO nanowires grown by physical vapor deposition on GaN/Sapphire
(0001)”, 2006 MRS Spring Meeting, Apr. 17-12, San Francisco, California, USA
(2006).
83. F. C. Tsao, C. J. Pan, B. J. Pong, C. H. Kuo, G. C. Chi, C. Y. Chang, D. P. Norton,
and S. J. Pearton, “Characterization of ZnO nanowires grown on Si (100) with and
without Au catalyst”, E-MRS IUMRS ICEM 2006 Spring Meeting, May 29 – Jun.
2, Nice, France (2006).
84. C. J. Pan, C. J. Tun, C. C. Lee, B. J. Pong, G. C. Chi, and C. W. Tu, “Structural and
optical properties of ZnO epilayers grown by plasma-assisted molecular beam
epitaxy on GaN/sapphire (0001) under different O/Zn flux ratios”, MBE Taiwan
2006 and High-k Materials Workshop, Jun. 1-3, Jhongli, Taiwan (2006).
85. F. C. Tsao, P. J. Huang, C. J. Pan, C. J. Tun, C. H. Kuo, B. J. Pong, and G. C. Chi,
“Structural properties of ZnO nanowires grown by chemical vapor deposition on
GaN/sapphire (0001)”, 2006 MRS Fall Meeting, Nov. 27-Dec. 1, Boston,
Massachusetts, USA (2006).
86. F. C. Tsao, C. J. Pan, C. J. Tun, C. H. Kuo, B. J. Pong, G. C. Chi, and T. H. Hsueh,
“Characterizations of ZnO nanowires grown by chemical vapor deposition on Si or
GaN/sapphire”, 2006 International Electron Devices and Materials Symposia, Dec.
7-8, Tainan, Taiwan (2006).
87. S. C. Hung, P. J. Huang, F. C. Tsao, C. J. Pan, G. C. Chi, and S. M. Lahn,
“Characteristics of ZnO epilayers grown on Si (111) by metalorganic chemical
vapor deposition at different temperatures”, 2006 International Electron Devices
and Materials Symposia, Dec. 7-8, Tainan, Taiwan (2006).
88. S. C. Hung, C. J. Pan, P. J. Huang, C. C. Lin, G. C. Chi, C. E. Chan, and S. M.
Lahn, “Zinc oxide nanostructures grown on p-type gallium nitride and silicon by
metalorganic chemical vapor deposition”, Optics and Photonics, Taiwan 2006, Dec.
表 C302
共 23 頁
第 20 頁
15-16 (2006).
89. C. J. Tun, C. W. Kuo, Y. K. Fu, C. H. Kuo, C. J. Pan, and G. C. Chi, “High quality
90.
91.
92.
93.
94.
95.
96.
97.
98.
GaN film grown on sapphire by using multiple MgN/GaN buffer layer”, The 3rd
Asia-Pacific Workshop on Widegap Semiconductors, Mar. 11-14, Jeonju, Korea
(2007).
C. J. Pan, C. W. Tu, and G. C. Chi, “Effect of oxygen ion damage on the optical
properties of ZnO epilayers grown by plasma-assisted molecular beam epitaxy on
GaN/sapphire (0001)”, MBE-Taiwan 2007, May 21-22, Kaohsiung, Taiwan
(2007).
S. C. Hung, P. J. Huang, F. C. Tsao, C. J. Pan, G. C. Chi, C. E. Chan, and S. M.
Lahn, “Characteristics of ZnO nanostructures grown on p-type GaN and Si by
MOCVD”, EM-NANO 2007, Jun. 19-22, Nagano, Japan (2007).
P. J. Huang, C. W. Hsu, C. J. Pan, S. C. Hung, C. C. Kuo, C. P. Chen, L. C. Chen,
K. H. Chen, and G. C. Chi, “Characterizations of GaN nanowires with Mg ion
implantation”, NanoSMat 2007: International Conference on Surfaces, Coatings
and Nanostructured Materials, Jul. 9-11, Algarve, Portugal (2007).
S. C. Hung, P. J. Huang, C. J. Pan, G. C. Chi, C. E. Chan, and S. M. Lan,
“Characteristics of ZnO nanostructures grown on p-type GaN and Si by MOCVD”,
International Conference on Optical, Optoelectronic and Photonic Materials and
Applications, Jul. 30-Aug. 3, London, UK (2007).
S. C. Hung, C. E. Chan, S. M. Lan, C. C. Chiang, and G. C. Chi, “Zinc oxide
templates grown on p-Si (100) substrates with various growth temperatures by
atmospheric pressure metal-organic chemical vapor deposition”, International
Conference on Optical, Optoelectronic and Photonic Materials and Applications,
Jul. 30-Aug. 3, London, UK (2007).
Y. K. Fu, C. W. Kuo, C. J. Tun, C. J. Pan, C. H. Kuo, and G. C. Chi, “Dislocation
annihilation in GaN with multiple MgN/GaN buffer layer by metalorganic
chemical vapor deposition”, 7th International Conference of Nitride
Semiconductors, Sep. 16-21, Las Vegas, Nevada, USA (2007).
H. C. Feng, C. M. Chen, C. W. Kuo, Y. K. Fu, C. J. Tun, C. J. Pan, G. C. Chi, and C.
H. Kuo, “Improvement of near-ultraviolet nitride-based light-emitting diodes with
meshed p-GaN”, 7th International Conference of Nitride Semiconductors, Sep.
16-21, Las Vegas, Nevada, USA (2007).
S. M. Lan, W. Y. Uen, S. C. Hung, C. E. Chan, P. J. Huang, C. J. Pan, K. J. Chang,
M. D. Yang, C. C. Chiang, T. N. Yang, and G. C. Chi, “Comparison of ZnO films
grown at different deposition temperatures by APCVD”, International Workshop on
Widegap Semiconductors, Nov. 22-23, Tainan, Taiwan (2007).
G. C. Chi, “Taiwan’s Situation and Countermeasures”, First World Materials
Summit on Materials Research: Key to Meeting Energy Needs and Climate
Change, Oct. 4-5, Lisboa, Portugal (2007). (Invited talk)
表 C302
共 23 頁
第 21 頁
99. C. M. Chen, H. C. Feng, C. W. Kuo, Y. K. Fu, C. J. Tun, C. J. Pan, P. J. Huang, C.
H. Kuo, and G. C. Chi, “Near-ultraviolet nitride-based mesh MQW light-emitting
100.
101.
102.
103.
104.
diodes”, First International Conference on White LEDs and Solid State Lighting,
Nov. 26-30, Tokyo, Japan (2007).
Y. K. Fu, C. W. Kuo, C. M. Chen, C. J. Tun, C. J. Pan, C. L. Yeh, C. H. Kuo, and G.
C. Chi, “InGaN/GaN single-quantum-well light-emitting diodes with low indium
composition In0.04Ga0.96N layer”, First International Conference on White LEDs
and Solid State Lighting, Nov. 26-30, Tokyo, Japan (2007).
C. W. Chen, C. J. Pan, P. J. Huang, and G. C. Chi, “Optical properties of ZnO
nanowires with Eu diffusion process”, European Materials Research Society 2008
Spring Meeting, May 26-30, Strasbourg, France (2008).
C. W. Kuo, Y. K. Fu, C. H. Kuo, G. C. Chi, C. J. Tun, and C. J. Pan, “Reducing
dislocation density of GaN with AlN/MgxNy double buffer layers by metal organic
chemical vapor deposition”, 14th International Conference of Metalorganic Vapor
Phase Epitaxy, Jun. 1-6, Metz, France (2008).
Y. K. Fu, C. J. Tun, C. W. Kuo, C. H. Kuo, C. J. Pan, G. C. Chi, M. C. Chen, H. F.
Hong, and S. M. Lan, “The effect of absorption layer of different arrangement
quantum well on electrical characteristics of III-nitrides photovoltaic cells grown
by MOCVD”, International Workshop on Nitride semiconductors, Oct. 6-10,
Montreux, Switzerland (2008).
C. W. Chen, C. J. Pan, P. J. Huang, G. C. Chi, C. Y. Chang, F. Ren, and S. J.
Pearton, “Photoluminescence of ZnO nanowires with Eu diffusion process”, 214th
ECS Meeting, Oct. 12-17, Honolulu, Hawaii, USA (2008). (Invited talk)
105. C. J. Pan, C. W. Chen, F. C. Tsao, Y. L. Liu, G. C. Chi, C. H. Kuo, T. H. Hsueh, and
C. Y. Chang, “Characterizations of ZnO nanowires grown on a-plane GaN”,
E-MRS 2009 Spring Meeting, Jun. 8-12, Strasbourg, France (2009).
(C)技術報告:
1. 陳啟昌、紀國鐘,"低溫激發系統介紹及在氮化鎵系列材料上之應用", 科儀新知,
117, page 69, 2000.
2. 李建階、周冠羽、武良文、黃裕龍、紀國鐘,"離子佈植機簡介與應用", 科儀新
知, 117, page 89, 2000.
3. 許進恭, 紀國鐘"白光發光二極體技術簡介"真空科技, 第14卷,第3期, 民國
90年10月
4. 陳啟昌、謝昆龍、紀國鐘、許進恭、周銘俊、李志浩、林銘哲, "氮化鎵雷射
共振腔方向與其光增益之研究", 真空科技, 第14卷,第3期, p. 392001, 2002
(D)書
1.光 電 半 導 體 技 術 手 冊 , 台 灣 電 子 材 料 與 元 件 協 會 2002 年 出 版 , ISBN
957-28125-1-3,紀國鐘、蘇炎坤主編。
表 C302
共 23 頁
第 22 頁
2. 液 晶 顯 示 器 技 術 手 冊 , 台 灣 電 子 材 料 與 元 件 協 會 2002 年 出 版 , ISBN
957-28125-0-5,紀國鐘、鄭晃忠主編。
專利 Patents
1. 郭政煌、紀國鐘、陳朝旻,「發光二極體結構」,中華民國專利I291253 號。
2. 紀國鐘、許進恭、陳孟炬、李明倫,
「平面式光檢測器」
,中華民國專利I239656
號。
3. 紀國鐘、許進恭、陳孟炬、李明倫,「紫外光檢測器及製程方法」,中華民國專
利 202698 號。
4. 張正陽、李建階、張育誠、邱千峰、王智明、黃裕龍、紀國鐘,「微光學元件
及其夾置具以及製作前述兩者的方法」,中華民國專利 205387 號。
5. G. C. Chi, J. K. Sheu, M. C. Chen, M. L. Lee, “Ultraviolet detector and manufacture
method thereof”, USA Patent No. 7,009,185.
6. G. C. Chi, S. Sing, L. G. van Uitert, G. J. Zydzik, “Devices and device fabrication
with borosilicate glass”, USA Patent No. 4,819,039.
表 C302
共 23 頁
第 23 頁
Download