>> Darko Kirovski: Hello everyone. We're welcoming here... as Professor Stephane Pinel from Georgia Tech, the Georgia Electronic...

advertisement
>> Darko Kirovski: Hello everyone. We're welcoming here Professor Joy Laskar as well
as Professor Stephane Pinel from Georgia Tech, the Georgia Electronic Design Center.
To keep everything short, to just to say Joy's an IEEE fellow, has contributed to society
in many, many ways technically. And I think that the latest technology that's coming out
of Georgia Tech is so exciting that it will keep you frozen at your seat.
So thank you, Joy.
>> Joy Laskar: Thank you, Darko. So today we'll be talking about hopefully a hot topic,
hence the flames. And I want to acknowledge actually Stephane Pinel and myself who
have been working for some time in this area. And the focus is on digital radio
development.
So this is a CMOS solution operating at a millimeter wave or higher frequency, 60
gigahertz. I'll give some rationale about why that makes sense. And we see this as a
fundamental block for future high-speed throughput connectivity, file sharing, et cetera.
We have a team that's been working for some time at Georgia Tech. This goes back
almost a decade. So current and former students. And the team basically as you'll see
works on almost an end-to-end solution. So both not just at the radio level but also at the
processing layer, antenna layer, packaging layer and through into the signal processing as
well.
So in terms of the outline, provide some motivation, initially some market positioning, if
you will, and then also some of the technical rationale, and then I'll dive a little bit into
the designer approaches, which is around the -- some of this will be around the circuit
design architecture approaches, and then some of the requirements that we've been
looking at implementing in terms of the antenna and the next level of integration or the
printed circuit board integration.
And then talk about some of the differentiations in our approach which deals with both
architecture, module circuit antenna integration, and also processing. And then review
the results.
And then at the end after we get through the summary, Stephane will give actually some
live demonstrations to give something that's kind of -- you can get your hands around in
terms of what we see the use cases are.
So in terms of motivation, maybe we start with something like this. This is something I
think obviously everybody in the room is familiar with, which is the growth of mobile
Internet traffic. And both with the introduction of smart phones and laptops, the
throughput and the exabytes of data over the mobile Internet.
What's interesting here is -- again, I'm sure, folks, you'll know better than me, but the
primary drivers or some of the growth that's occurring for mobile Internet is around
things like social networking and video, video traffic.
And what's -- so I think that what that potentially means is that today what we think of
sort of a niche in terms of having the ability to deal with high-definition video or
standards like 720p or 1080p in HD televisions is something that consumers are going to
want ubiquitously in the future.
So that's one of the sort of question marks in terms of local connectivity, how do we
stream this type of information and how do we move these type of data files, these very
large data files, with local wireless connectivity. And what we're trying to do is break the
multiwatt, multigigabit sort of paradigm that exists today. All right. So we want this to
be something that form factor-wise looks like a Bluetooth interface potentially.
So that's one overall pull. The other thing is it's interesting, then, is if you look at the
spectrum types of changes that are going to happen. So if we open up advanced devices
maybe today, you know, these are the types of frequencies that we typically think about.
We have both for cellular environment, for location, and then local connectivity, things
like wi-fi and Bluetooth. And we're covering basically spectrum from what I would call
C-band, between about 1 gigahertz and 5 gigahertz. So that's what dominates consumer
radios today.
In the future, you have potentially other bands within the wi-fi type of local connectivity.
So in this case you're talking about a few hundred feet of connectivity, and then talking
about data services potentially that will also be falling into C-band that will now be on
the orders of maybe kilometer range, like something like a WiMAX. And then there's a
lot of discussion in terms of what to do with the analog TV bands and sometimes what
people call a white space and now moving to the UHF frequencies.
So what I'm focusing on today is basically looking at local connectivity or sometimes
what people call wireless personal area networks. So now I'm not talking about
kilometers or hundreds of meters; I'm talking about 10 meters or less. And so in some
sense you could argue it's the fat pipe to your eyeballs.
And so 60 gigahertz is something that people have been looking at for some time. It's
gaining momentum recently, primarily because of a few reasons, and you can sort of see
this from this picture here. This is becoming a pretty crowded spectrum. And if I want to
support uncompressed video data rates, you're talking about over-the-air data rates of up
to maybe 3 gigabits per second or higher.
So you could imagine if I want uncompressed, no latency types of solutions, it becomes
difficult to push that amount of throughput into the kind of megahertz or submegahertz
kind of allocations that exist in these channels here.
And at 60 gigahertz, you have 5 gigahertz of unlicensed bandwidth, which is sort of
depicted here.
So this plot, so for people like Jerrell [phonetic] and myself, we love this kind of plot
because it brings electromagnetics back into vogue for just a little bit.
So this is a plot of attenuation in the atmosphere, dB per kilometer versus frequency
range or conversely wavelength. So a few points. First of all, the C-band, 1 to 5
gigahertz consumer volume radios, they're not even on this plot. They're down here.
Second thing is basically that if you move up vertically -- or if you move up the vertical
axis here, that's actually in some sense a negative effect. You have more attenuation.
So you can see immediately that in some sense the positive thing about 60 gigahertz is I
have a fair amount of unlicensed bandwidth, about 5 to 7 gigahertz worldwide coming.
Just to put that in contrast, that's something that hasn't happened yet today for, for
example, ultra-wideband frequencies. You don't have that much common bandwidth
worldwide, which is a big barrier for rollout.
So that's sort of the good news. The bad news is that you can see you have a peak
absorption here. So for oxygen absorption of the atmosphere, you have a peak
attenuation.
So the other thing that's interesting is that for those of you that are old enough to
remember, like unfortunately I am, if you go back a few years and you look if someone -if I plotted maybe 20 years ago, 15 years ago 1 to 5 gigahertz, I can make some similar
comments, which is there's some interesting niche applications. It's not really
high-volume consumer yet. People are using these for some kinds of wireless transfer.
And similarly a millimeter wave, in this case millimeter wave we mean by the
wavelength. We're in the millimeter wave regime. So millimeter wave today, they really
are not volume consumer applications that we would think of other than some receiver
applications for satellite TV.
There are niche high-value applications that primarily or historically have been for
defense department, radar, point-to-point communication. So, for example, cellular base
station, the back hall point-to-point radio links.
So what that means is the analogy, then, would be today I could buy a 60 gigahertz radio
for about $10,000. The challenge is can I turn the $10,000 60 gigahertz radio that's on
the market today for these point-to-point applications, can I turn that into a $2 radio.
And interestingly you can see that what happens is that what some people think and we
think as well is that you have the interesting application at 60 gigahertz, you've got quite
a bit of bandwidth, so things like data throughput is an interesting application. You also
see that you have not only a peak attenuation point over frequency, but you also have
minimum attenuation points.
So millimeter wave has a lot of different applications, not just at 60 gigahertz. So, for
example, if you go through the airport today, there's scanning, full-body scanning. That's
actually using millimeter wave frequencies. If Darko's next car is going to be a Mercedes
S-Class with a smart cruise control option, that's 77 gigahertz radar.
So you have radar, you have sensing, you have imaging. All these things exist in kind of
niche applications right now. So imagine if the 10,000 to $20,000 radio became a 2 to $5
radio, you not only enable this application, but you enable applications across this band.
So this that sense I think you could argue that where we are today in the millimeter wave
application spectrum is somewhat analogous to where the cellular applications were a
couple of decades ago. They were still very high cost, but you could start to imagine
what some of the use cases would be.
And so for students, the way we get them interested in our research, since we work on
hardware and stuff, is the analogy is the Star Trek communicator, if you think about it.
Because seriously, because you've got a really small form factor, what does it do?
Communicates with satellite, communicates locally, does data collection and sensing in a
real small form factor. So something like that.
>>: [inaudible] what is causing the high cost at the moment?
>> Joy Laskar: Very good question. You basically -- so several things. One is the chip
technology that's used is not highly integrated. It's very much like traditional DOD. So
it's not even what I'd call an IC. They're mainly discrete blocks. So you don't have
standard economies of scale at the chip level. You use a lot of off-chip discrete elements,
lots of ceramic, things like this. So that drives up the cost.
You also, to be fair, don't have a single volume pool that you would have for a consumer
market.
So one is the base technology. Another one, then, is the architectures that are then
enabled. Everything is fairly customized. So in some sense the markets haven't had to
adjust to millions of units a week. You're talking about thousands of units a week type of
thing. So it's still -- and in some ways most of these applications in this space are very
analogous to what happens in the DOD industry today. So if you want to Lockheed
Martin and looked at a phase array radar system, the base elements would look very
similar.
But that's going to change over the next -- within 10 years for sure. And the way you can
measure that is look at what the DOD advanced agency kind of procurements or research
requests are. It's a lot of it is how to collapse a lot of these things into a chip level.
So that's -- so we think millimeter wave becomes pretty interesting going forward for a
variety of applications. So now on 60 gigahertz why is that interesting. So you could use
a lot of different perspectives, but maybe this is one that's interesting.
So the blue dots here are supposed to -- are trying to capture the fact that we already have
existing a variety of wired multimedia interfaces or wired requirements. So a
high-definition multimedia interface for your high-def TV, PCI Express for your hard
drive interfaces, even for your SD cards that you pop in and out.
These are in aggregate multigigabit throughput today. And if you look at the roadmap,
they go into tens of gigabit throughput. So these aren't fictional, these are existing today.
So if you look at your laptop, you already have those interfaces on your laptop, for
example.
So then if you look aggressively at the kind of local wireless connectivity, so now you're
basically asking the question how do I get gigabits of throughput over the year locally.
So these are sort of the red or pink dots here.
So the problem is that, one, is you can see that these lines, even in these projections,
aggressive projections, the throughputs actually never converge. If you look at the wi-fi
802.11n MIMO kind of projections, in reality people are looking at about how do -eventually how does one get to about a gigabit data rate roughly.
So you're literally orders of magnitude away just in throughput. But the other issue is if I
want to have this to be ubiquitous, I want to put this on a bunch of unplugged devices like
smart phones, consumer electronic devices, the other issue is the power consumption.
So just to put it in perspective, if you look at a smart phone device today, you allocate
about 3 watts to the full platform for power consumption. And if I add any of these local
connectivity interfaces, it's over a watt. Projected out it's over a watt for less than a
gigabit of throughput and potentially significantly less.
So what that means is if you're a system integrator at the smart phone level, you're talking
about allocating somewhere between a third to half of your total platform power
consumption to a local interface, connectivity interface, which doesn't make any sense.
So that's the fundamental problem. So the 60 gigahertz solution or question is can it
collapse these two curves. So for 60 gigahertz to make sense for local connectivity, we
need to be able to track these kind of blue dots, meaning a multigigabit interface, but at a
power consumption form factor that is similar literally -- in cost structure that's similar to
what wi-fi or Bluetooth is today.
So that means it's got to be about the size of my thumbnail, if I trim my fingernails, a
little smaller. And we know what the power consumption needs to be. It's got to be 2-,
300 milliwatts. Otherwise it's not going to make sense.
So why? So the use cases are, for example, video. Video's going to be -- video is the
next music distribution thing. My -- well, my kids aren't old enough, but I know lots of
people, you know, my students, et cetera, that are on BitTorrent or whatever and they're
ripping videos, just like I used to rip music a long time ago.
So you're going to have video everywhere, and you want to be going to a form factor
where maybe I create the content with -- I have video processing capability on my smart
device and I want to project that after I go to grandma's or I want to share a movie once
all the details get worked out, and Darko's been working on some issues related to that.
Or I'm going to have really large data files that I want to move from point A to point B
and I don't want to have to wait around for 10, 20 minutes.
So what we work on, then, is something that -- this is Stephane's finger, so this is the kind
of solution that we're talking about in the smallest form: single chip that goes into a
standard kind of package flow, which is very important, that then is a form factor that can
go into something like this.
And just to put it in perspective, the question about the cost of the radios, so the C-band
radios today, so the 1 to 5 gigahertz radios in commercial structure, the cost structure of
the radio is about a third, a third, a third: A third is the chip cost or die cost, a third is the
package, a third is the test. That's roughly how the consumer volume radios go.
If you look historically at millimeter wave at these really expensive radio platforms, the
package and test costs are easily over 90 percent of the cost structure. And the die or
chip cost is a small fraction. So we have to attack all those issues together.
So in this case the reason that this becomes kind of significant to the system integrator is
this is a piece of standard digital CMOS. This is a slightly modified printed circuit board
but it goes into a standard PCB flow and that goes into a plastic package.
>>: So there's no 3-5 in there; that's all [inaudible].
>> Joy Laskar: That's correct. No 3-5. Which pains me to say that because my thesis
was in 3-5s.
>>: [inaudible] but the point is that it should be really inexpensive.
>> Joy Laskar: Yes.
>>: So I'm confused. In the past we were sitting there saying that it was overly
expensive and I was assuming the cost was higher. But here it's also [inaudible] at this
frequency. It shouldn't cost much at all.
>> Joy Laskar: That's correct. You're exactly right.
>>: So you're saying this is on the forward side of where you're looking here.
>> Joy Laskar: Right. What I'm saying is this is what we've been working on today.
>>: Okay.
>> Joy Laskar: So yes. This is kind of forward looking. Yes. That's exactly right. But
your point is right. In other words, if, then, therefore, if I had the volume, then this
interface costs the same as an 802.11b. That's exactly the point.
So from a research perspective, the -- we -- if we try to describe what we're working on
or what are some of the differentiation, and I'll kind of walk through that, so you can see
what our focus is, then, is how do I integrate all this stuff at a chip level in standard
CMOS, how do I get that into a plastic package flow and have the robust antenna
interfaces integrated on them.
So right now we're the only people that do all digital CMOS in a plastic package with the
antennas with it.
So on the radio side, the observation is the following: that normally, especially at the
higher frequencies, you make several demarcations when you do the development. One
is you think about communication and sensings being very different. In other words, a
radio and radar. And if you look at, say, again like a DOD industry, there's literally
different companies that work on these things or different divisions. Almost.
The second thing is that when you do these developments, you make demarcations this
way. I have a team that works on the front end. I have a team that works on the analog
piece. I have a team that works on the data conversion piece. I have a team that works
on the processing layer, et cetera. So they're all done very linearly in some sense.
And that's another reason why these things are so expensive at these frequencies.
Normally you have teams -- you know, this layer here, there's a whole industry that's
called a MMIC: Monolithic Microwave Integrated Circuits. Whenever anyone says a
MMIC, they really mean this piece right here.
So has to happen for -- and the other thing is that what happens at these frequencies at 60
gigahertz, which's interesting is normally when you think about wireless, like an RF
design, it's a very narrow band design. I'm going to have a carrier frequency of a couple
gigahertz. But the actual band of interest is megahertz.
So 60 gigahertz is a little different, because I have 5 gigahertz at least of bandwidth, and
actually more like 7 or maybe even more. So it's actually a wideband design, even
though it's wireless.
So to deal with all of that, you literally have to collapse these layers in a sense. So that
chip level that I'm talking about isn't just this front end; what has to come off that chip is
a collapse of all these layers. So by collapsing them and doing that intelligently -- and
since we're in a university we make up acronyms like layer fusion, but there's something
to that.
What we want is a lot of functionality that goes into the IC. And more importantly, we
know that we're going to have a multigigabit data rate that's coming through this radio
layer, this radio modem layer. And I don't want to have to deal with things linearly. And
there's lots of power efficiencies that I can achieve with integration, which is actually an
argument for why I want to move into standard [inaudible].
So as an example, and I'll give you very specific circuit examples, but the takeaway is
that you can do things like -- you can see some of the words that I use here. So I've got a,
say, digital transceiver. What I mean by that, it's still an RF radio interface, it's still a
transceiver, but it's completely digitally controlled. It's actually very similar to what
people do today, say, for wi-fi radio. But now we do this at 60 gigahertz.
The other thing is I want a fair amount of embedded processing, bit manipulation,
synchronization, filtering and control. And I'm actually going to use a distributor
approach where I do some things in analog, I do some things in base band. The reason I
do that is because I get power upon assumption efficiencies.
So I have to collapse the layers. So the -- so then the -- so then this kind of brings
together some of the enablers that we think about. And so on the left-hand side is kind of
the way we do things now.
So this is literally a radio that you can buy. This is the $10,000 kind of thing. And you
can see the kind of -- you've got metal housing, you've got wave guides, very large,
bulky. It's got a heat sink in it. If you start to crack open these things, you'll find lots of
ceramic packaging. You'll also find all kinds of shielding and wave guide routing not on
a chip, on an expensive substrate or platform.
And then, in addition, you're going to have a fair amount of -- if you start to put together
the complete link, like in a point-to-point link, you have a fair amount of processing that's
going on as well.
So to attack this, what we'd look at is all these things are necessary but by themselves are
not sufficient; meaning I want to move into CMOS, standard digital CMOS, I also want
the package flow so I can eliminate all this type of stuff, and I want to collapse the layers
in architecture form so I can embed a fair amount of the processing in here. So this
becomes almost like a hot pluggable radio into existing back ends.
So the result of that is that the build materials or the bomb in volume is very low in
principle. Plastic package digital CMOS. And it's a self-contained solution; meaning bits
in, bits out, antennas included. And we'll show you a bunch of examples of that.
These are actually real power consumption numbers that we've been vetting for different
use cases for some time. And it's not a -- this is for people who work in millimeter wave:
this is not a MMIC. It's a digital radio. There's about a half a million gates of integration
here. And the reason we have that level of integration is not for the radio but all of the
kind of control and monitoring and calibration and wrapping around all the millimeter
wave portions.
And so, for example, I mentioned earlier that, you know, test is a big problem in general,
testing these chips at high volume. So this chip on power up does a self-test,
self-calibration, digital readout of its performance, and then you do a couple of RF test
points. So it's a very sophisticated sort of flow that's been developed here.
>>: Just a quick question. The power [inaudible] for transmission or reception.
>> Joy Laskar: That's a good question. The question is in terms of power consumption
for transmission and reception. It depends on the use case; meaning what's the coverage
and distance you want to communicate.
The way we look at it, so if you're at about a sub-3 meter, 3 meters or less, your transmit
and receive budgets are comparable. Once you go above maybe 5 meters, you start to put
more and more power consumption on your transmit side.
>>: And what's the bandwidth? What is bandwidth for these?
>> Joy Laskar: You mean the front-end bandwidth? We actually designed the front-end
bandwidth to be approximately 10 gigahertz. And I'll give you lots of details on that in a
little bit.
So in terms of just from a development perspective, back in the days when Jerrell used to
be at Georgia Tech we were working on these things too, so there's kind of a parallel flow
that is important. One is, you know, for example, if you're working in standard CMOS,
the problem is if you go to any manufacturer or foundry, they're not going to have the
design rules for these frequencies in their digital process.
So we want to work in standard, vanilla, digital CMOS. Nothing has to be done to the
process. So we spend a lot of time in terms of understanding what are the degrees of
freedom and boundary conditions for the IC design flow. And it's not just an RF design
flow. There's all kinds of issues in terms of transmission issues, noise issues on the
receiver side, et cetera, et cetera.
So this is developed in a very detailed manner. We develop actually a lot of our own
extraction techniques and then weave back into the circuit design scenario.
In a similar way we do that with the packaging solution. There is no standard -- you can't
just walk up to a print circuit board foundry today and say, you know, I want a millimeter
wave package that has the same cost structure as what you do on your PCB an your
laptop.
So what we've done is spent a lot of time coming up with a process flow that can go into
a standard PCB manufacturing house within about maybe a 30 percent premium and then
from that maintain what we call design rules for the package, the antenna, and any
filtering we might be doing on the PCB.
So these flows in development go kind of in parallel. They're codesigned. So what
happens at these frequencies, you know, you don't -- it's very difficult to design the chip
and then think later about how you're going to interface it into a package and then later
about what the antenna is going to do. These things have to be -- in our opinion are very
intimately codesigned and tied to the system use case. So that's what we do. Been going
on for some time.
And then the architecture is something like this. So the kind of solutions we're talking
about, so this is receiver, transmitter, and in effect we have a modem, physical layer here,
and within a protocol adaption layer to a variety of back ends.
So what we want this to do ultimately is a bit stream comes off of this, a demodulated bit
stream. You can take it in digital form or analog form. You can change the modulation
schemes. You can use different back ends. You can even use different -- you know,
there's different variations of standards that are going on right now. You can use that as
well with your back end.
So this is -- so what this looks like is if you look at a system architecture today for local
connectivity, you effectively have various microcontrollers that hang off your media
processor bus: one for Bluetooth, one for wi-fi, one for USB, and one for 60 gigahertz.
So this is a multigigabit 60 gigahertz microcontroller is how it behaves to an integrator.
So the next couple slides I'm going to just kind of go through some of the technologies,
and then just please ask questions away, and then tie it back together with some of the
overall solutions.
So one area, and actually the area that we started on, was the packaging a while back.
And the reason is that, again, if you look at high-volume consumer radios, you will not
find very much ceramic packaging in those solutions. It's just too expensive. So not a
huge insight there. But we knew from a long time ago that we had to have some
alternative to a traditional ceramic flow. Because, again, remember, there is a
multibillion dollar supplier industry that exists today for millimeter wave stuff. It's all
ceramics.
So we spent a lot of time looking at this. And so basically we worked on a modified PCB
flow, and that's what this is supposed to capture. But this is what we -- our base platform
that we work in, these are about 1 1/2-by-2-foot panels. The prototypes in the university
cost us about a dollar.
And so that gives you an idea of where you go in terms of volume. So we have these
punch out type of panel type of structure here. You work in a standard printed circuit
board process. You have the same kind of flow in terms of large panel fabrication.
We also spent a lot of time on the antenna design rules. It was very important. This is a
codesign. So you can imagine if I'm in a digital CMOS process I want high-performance
antennas that are low cost. Every couple of dB of gain I lose in the antenna I have to
compensate for with current consumption in the circuit. So you can extrapolate on that,
and I'll make a comment about that a little bit later.
And then the question then is because, you know, the other issue here is from system
perspective is that 60 gigahertz, the challenge -- one of the challenges is if I put my hand
in the link, it's about 25, 30 dB of loss.
So then what you want to do is -- you know, this is phase array system. So the thought
would be that, well, I want to put phased array beam steering kinds of solutions. I have
an impediment and I steer around it.
So you can do that. And we do have variations of that. But then the question is what's
the use case, what's the power consumption, how are you going to use it.
So we actually think it's a much more complicated answer in the sense that in some cases
I do want to do a phase array, but my power consumption isn't going to be 100 or 200
milliwatts; it might be about a watt. And maybe that's what I want to do on the
big-screen TV side.
But on the handheld side, what maybe I want to do is be able to go through about an inch
of wood for about 200 milliwatts. And if you kind of look at it, you're covering about 75
percent of the use cases on the handheld side with that.
So there's all kinds of variations you can play in terms of having the gain in beam with all
the relatively simpler antenna array or beam sectors so you can get much more coverage,
but then you're trading off what your power consumption is. So that's actually I think a
fundamental piece of looking at this.
And then you can do -- so we have developed all types of -- many types of design rules
around this in terms of gain; coverage, meaning beam width; wideband in terms of the
actual ratio; polarization. There's all kinds of variations that you can use that can become
interesting.
So, for example, at 60 gigahertz you can use polarization diversity in terms of circular
polarization. So that's an interesting way of increasing throughput, and it's almost all
done at the front end for relatively low power.
So there's lots of different variations. And then in terms of how you build up the antenna
arrays into larger beam steering types of solutions.
>>: Can you talk about [inaudible] does your solution include that?
>> Joy Laskar: Sure. Absolutely. In fact, one of the variations we use. And we actually
have a couple of programs with the defense department where we do that.
>>: And how quickly can you steer [inaudible].
>> Joy Laskar: So usually you're looking at on the orders of milliseconds.
>>: Okay.
>> Joy Laskar: Okay. But the issue is in terms of the analysis of how many elements do
you need for your use case, which you will find I think our analysis is roughly above
about somewhere in the range of 16 to maybe 24 elements you start to get a dimensioning
return on your performance.
And just to put it in perspective, the stuff that's out on the market now is about 10 watts at
60 gigahertz. And so that's probably not a very scalable solution.
So I'll come back to this in a second in terms of some of the design rules. But these have
all been developed in a plastic package printed circuit board substrate flow.
So then once you start to look at that and then there's a variety of next-level-up questions.
So one is below a certain size I want to be able to use, for example, like a quad
[inaudible] lead kind of package with this type of form factor with all the antenna
included, or you can go to for larger arrays some form of [inaudible] array type of
interface.
The point is that you can leverage standard manufacturing flows that are already existing
in the industry and only slightly modify or not modify at all for the millimeter wave flow.
And that's sort of been our boundary condition.
So from that we develop this type of thing. So two kinds of flows. One is around the
chip level, so we have all the various little building blocks that you would start with at a
MMIC level, or receivers, transmitters, low-noise amplifiers, power amplifiers, building
up in structure. Then into the full-up complete solution and also the electronic steerable
array solution.
And it's from this set that we choose for the use case, and then over here the variety of
different antenna interfaces. So, for example, if I want to do vertical fire or edge fire. So
if I have a TV screen, maybe I want it to go like this. If I have a smart phone device, I
want to go like this.
And then kind of work that up and then use diversity, folded architectures into compact
arrays. So in this case it's a four-by-four that's electronically steerable, for example.
Then at the architecture level what's important are a few things. So one is that this is a
simple block diagram but it's -- becomes pretty important. So what happens is if you're
designing at these frequencies in standard digital CMOS, you don't have much margin.
In other words, you don't have a lot of extra frequency bandwidth. Your process flows
will -- by their very nature at these operating frequencies will vary a fair amount.
So basically if someone presents a paper today in CMOS and they show 60 gigahertz
solution and it's a very large MMIC, it's not going to work very well. Unless it has
closed-loop digital control around everything, for temperature variation, process
variation, performance variation, self-calibration, et cetera.
So that's basically what's embedded in the chip architecture, is we take the traditional
analog front end, we have very low-power sampling points and literally have in effect
digital control elements with localized signal processing that all happens at the kind of
micro level, if you will, within the circuit, and then at a macro level that's controlled by
an SPI interface.
So, for example, if I look at -- so these chips here actually we have full digital
synthesizers that work at these frequencies, but to maintain the phase requirements or to
maintain some of the gain requirements on the transmitter we have to have these control
digital interface loops all throughout the architecture.
And that's actually how we then come up with a distributed architecture for the steerable
array system so that is actually lower power than just linearly scaling. That's one way
you start to break this, the multiwatt, multigigabit type of barrier. So this type of
closed-loop built-in self-test is embedded throughout the chip development.
The second thing is we talk about distributed types of architectures or processing. So this
is a simplified diagram, but it gives an idea. If you look in the literature, there's lots of
talk now about if you look at gigahertz or multigigabit systems. And this isn't just true in
wireless. If you look at 10-gigabit interfaces, you know, if you have a 10-gigabit
Ethernet switching chip today, it's about 10 watts. The rule of thumb is about a watt per
gigabit.
So to break that people are looking at how you can do certain things, certain signaled
conditioning where you precede your standard A-to-D converter and before you hit the
DSP. So this is just a type of architecture.
What we do is in analog form before we hit the standard digital interface we do things
like analog-based autocorrelation, tracking of the phase and frequency and demodulation.
So what that does is that effectively increases the resolution of the following A-to-D
converter stage, effective number of bit by anywhere from like 2 to 3 bits.
And this is -- what's in red here is basically a multigigabit modem that's embedded on the
chip. So that's from collapsing the layers and use this distributive or collaborative type of
processing approach.
And this is the type of eye diagram that we get off the chip, for example. You take a
demodulated bit stream, in this case a QPSK signal, and that's directly what comes off the
IC.
And just to put that in perspective, that enables a very low ADC power technology. So 5
gigasamples per second. In this particular case a 3-bit -- this is a Flash ADC core. Total
power consumption is 2 milliwatts. And that's embedded in the IC.
Then you can take this -- you can -- there's tricks you can play to increase the resolution.
And sometimes people look at the figure of merit for ADT converters is signal-to-noise
ratio, or the SNR number of bits in the sample rate. And they call it Walden curve, and
this is actually from the original Walden paper in '99. So this is a bunch of data points
and then kind of extrapolations.
So the kind of conversions that we're working on are literally off the Walden curve.
So what that means is, again, just to put this in perspective, usually what folks do at these
speeds or frequencies, just the A-to-D converter consumes more power than our total chip
does.
So the other thing is, then, that, you know, as I mentioned, in analog you can do lots of
signal conditioning effectively. So in this case you can look at filter structures at a chip
level, that you can do things like pulse shaping.
So this is literally measure data with an approximately almost 2-gigabit data rate
throughput without and with a pulse shaping that's done on chip. And you can look at
wideband sort of pulse generation that's done on chip. And the point here is that you're
looking at less than about 5 milliwatts of consumption for the signal conditioning.
So these are two examples of where we do -- we implement certain circuits in an analog
format before we hit the standard DSP, if you will, where you're literally knocking out
orders of magnitude of power consumption. And this is all sort of codesigned. Because
the other thing you can see here is that the -- talking about a couple of gigabits of
throughput at 8 gigahertz.
Now, the reason this gets interesting is that at 60 gigahertz you have about a front-end
requirement of almost 8 or 9 gigahertz of bandwidth. So if I pick an architecture where
I've collapsed the layers and then I come out at the other end with an intermediate
frequency, an IF, of about 8 gigahertz, what gets kind of interesting is my IF and my
front-end bands are similar and the data throughput band requirements are similar.
So there's a lot of -- that allows me to potentially implement quite a few efficiencies in
terms of the signal manipulation through there. So that lines up pretty well for 60
gigahertz.
So this gives you -- now, coming back to the question of the IC performance and some of
the details, the next couple slides will start to look like eye charts.
So this is what's on the chip today. So at the chip level we've collapsed the layers, you
have a digital bit stream or analog form IQ that comes off. The front end is
approximately 10 gigahertz bandwidth. That includes everything from transmitter and
receiver.
Whatever standards one looks at today on the front end, you have four channels, one and
a quarter gigahertz -- is that right? Or 2.16. Sorry. 2.16 gigahertz, four channel. That's
consistent across whatever variations one's talking about on the front end. And then you
have kind of a what we call bits in/bits out architecture.
The other thing that's really important is when you think about the system integration,
you can imagine if you take a radio and let's say you want this to be integrated into
something where the system integrator isn't really a radio person and is probably very
unlikely they're going to be a millimeter wave radio person, so then how do they work
with this.
So you can see how this is architected -- the interfaces into this are what? It's IO, digital
control, power and ground, and a reference crystal. And actually one of the most
differentiating things about this design is this is a standard video reference crystal. So
this is like a 30 cent video reference crystal. Any video board has a 27 megahertz
reference crystal.
And as far as we know, if you look at anything that's been shown reported, we're the only
people that can lock off of this reference crystal. Most people's reference crystal are
more expensive than the die. And you can look it up.
So that's actually a nontrivial portion of the design. So the point is, then, that if you went
to somebody that had a video board, if you will, they literally -- the rest of bomb, the rest
of build materials is almost zero, which is important for insertion, and to make it look like
to the system integrator like any other commercial drop-in part. It's a plastic package,
digital interface.
So we've been doing this for a while. So this gives you quite a bit of detail in terms of
the overall performance. These are primarily the transceiver specifications. There's also
a lot more in terms of the rest of the modem, et cetera. But here I'm just showing the
transceiver.
But there are a few points. Very wide bandwidth front end. And this is over temperature,
which is important. Sometimes process variations in temperature. So over the standard
types of temperature range. This is what's -- the kind of characteristics can be supported.
And this is data that's been collected over -- not over two or two devices but over
hundreds from different process runs, so statistically it's accurate.
So a few things. The way this is architected, the transmitter and the receiver can be
digitally stepped. So, again, you can get a good idea of the performance characteristics
from a digital interface perspective before you ever have to put the chip on an expensive
millimeter wave test bench.
The other thing is there's some issues that people have asked from some time, so one is,
for example, noise figures. So this is the total receiver chain noise figure over process
vary and temperature variations. So between about 8 to 10 dB of the total receiver chain
at these frequencies in standard digital CMOS.
The other thing that's interesting is the phase noise numbers. These are measured at 1
megahertz offset. So these phase noise numbers, they're good, they're not as good and
3-5s. They're good enough certainly for these applications. But what's interesting is
what limits the phase noise numbers here is not what's on the CMOS, it's the reference
crystal.
So if I wanted to play spec number games, I could put 20 bucks into my reference and I'd
have a phase noise that would be within about 5 percent of what can be done in 3-5s.
So this is why if you look at what's happening at millimeter wave, not only is it for a
consumer with 60 gigahertz application, you can also see why some of those other
traditional applications are going to move more and more into these technologies,
because the performance tradeoff is not as large perhaps as folks thought.
>>: Are you saying that some reference crystals are cleaner than others?
>> Joy Laskar: Yes. Absolutely. Cleaner, wider bandwidth, et cetera. So, for example,
the bigger -- for instance, here I'm working at 27 megahertz. If I were to be able to move
this up to 1 gigahertz or 2 gigahertz, it makes my locking and mixing simpler.
>>: I'm trying to differentiate between the phase lock loop circuitry and the crystal itself.
>> Joy Laskar: It's more of the phase lock loop circuitry. Yes. That's right. So there's
some things that you start to see here or you can pull out that means that you get
efficiencies not just from an integration perspective but the fact that you're literally -- the
penalty performance-wise, say, to other chip technologies is relatively minor tradeoff by
functional integration.
So then -- so to that point it's scalable. You know, electronic steerable array at 60
gigahertz or any other gigahertz is basically a portable radar, if you think about it. So
there's all kinds of things that you can think about.
And in the context of 60 gigahertz, you know, again, this is a single-chip beamformer,
which is the first of its type in single-chip form in CMOS. It has embedded processing.
And if you just want to do some numbers, back-of-the-envelope calculations, so you've
got four channels, that's standard compliant. You've got independent transmit and
receive. Let's say that you go with 16 QAM modulation, so that can give me in principle
up to 6 gigabits per channel.
And if I want to do the full beamforming, the issue there is not can I do beamforming or
not do beamforming, but can I do it in a way that's more efficient than this guy.
So things like how I do the face shifting and the amount of resolution, LO and the
combining structures, et cetera. I won't bore you with those details, but there's a lot of
architecture here that we've been working on.
But the takeaway from a system integration perspective is just with this
back-of-the-envelope kind of calculation, in principle, then, with 16 QAM modulation
and four channels, you have a 24-gigabit-per-second throughput.
Now, today would we have 24 gigabit? Maybe not. Would we have the full coverage
that we want? Maybe not. But you can see immediately that on a local wireless
connectivity level you're on that other trend with the wired interfaces.
And you're talking about something that -- I mean, we've done demonstrations in the lab
of -- now I'm talking about a 1 meter link, 15 gigabits per second, less than 200
milliwatts.
So you're already at a roadmap that doesn't exist for, say, something like wi-fi.
So why do we care? So if we come back to the use cases, well, you get a couple things.
There's two -- if you're thinking about portable devices, there's two basic use cases, say,
for smart phones. One is secondary monitor or video streaming and one is for side
loading or extreme file synchronization.
So these are basically the data transfer side loading kind of scenarios. But if you think
about, to give you an idea, and you'll see in the demo, and we can pass some of these
things around maybe -- so these are all pretty real. So this is sort of our workhorse
solution. Darko's seen all these.
>> Darko Kirovski: I've seen them.
>> Joy Laskar: So these are the workhorse solutions. That's in a QFN chip that that's
small enough, low enough power consumption that it can go into a phone or a TV.
If you want the electronic steerable solution for the TV, say, something like this. If you
want something that goes onto an SD card, you put it -- embed an SD card and you pop it
in and the data comes off.
So and these are low-power consumption. So I'm stealing all of Stephane's thunder.
These are all low-power consumption. These work off batteries. These are AAA
batteries. These are really low-power consumption.
So what that means is that for the streaming case, if you've seen at CES and at the GSM
World Congress that people are trying to put LCD projectors in phones literally, and
they're doing early demonstrations. The phones grow like this, the battery consumption
kind of dies pretty quickly.
So what this means in this case is you could take a smart phone if you had this interface
and could put it down on your coffee table and not plug it into the wall and watch a full
DVD on your TV and not have to recharge or re-plug in the phone.
Now if you're looking at the side loading, these are pretty accurate kinds of numbers. So
if I said I wanted to take that DVD, about 4 gigabytes, and put it over Bluetooth, it's
about 20 hours. If I want to go over wi-fi, it's going to be more than an hour. If we
wanted to do with what we're doing right now, it's about 10 seconds.
The other way to look at that is the actual energy consumption in joules, watts per
second, which is actually more important. So you can see you're talking about in the case
of the 4 gigabyte type of file about a factor of whatever, 300 and a couple thousand
difference in energy consumption.
And so then if you said, okay, well, in the kind of side loading scenario where if I got
about a hundred megabytes of digital photos or music or whatever, again, you're talking
about a half an hour, Bluetooth, minutes in wi-fi, a quarter of a second in something like
this.
And more importantly, you're talking about less than a joule of energy consumption. So
that starts to matter. So now you're at a point now if everybody in the room had their
favorite little device and everything was enabled, point and shoot. Or I go this and I
just -- what would be the word -- I sank everything.
>>: So this table, are you talking about 1 meter range, 10 meter range?
>> Joy Laskar: No, these are 5 meter rangers.
>>: Okay.
>> Joy Laskar: 5 meter ranges. Today. And that will go down. This is all in 90
nanometer CMOS. So, for example, if I went like this and moved to 45 nanometer, these
are going to go down.
>>: What's the range [inaudible] power consumption [inaudible].
>> Joy Laskar: That's a good -- it will asymptotically approach some number. So right
now if you drop down to about a meter -- so, for example, in Japan there's a protocol
called TransferJet, which is sub-1 meter.
>>: 4 centimeters.
>> Joy Laskar: Yeah, 4 centimeters. So if you looked at something like TransferJet, you
could drop that down significantly, maybe 50 milliwatts something like that. But I think
for most of these cases, between about 1 to 10 meters. You know, again, depending on
what you're trying to do, you're looking at somewhere between about a hundred and 300,
400 milliwatts.
So this is the last slide, just kind of tries to capture sort of the summary. So, again, where
we've been focusing is on the chip level, the modem level, and then the architecture and
the antenna plastic package.
And so the numbers where we think we have the differentiation is the power consumption
numbers. So, as I mentioned, some of these distributed processing approaches. So you
can use different metrics if you want to track that in terms of like the conversion layer
samples, gigasamples per second and power consumption.
You can also look at things like the energy per bit, which is analogous to the joule type of
argument. So this is your -- you're literally in a Pico-joule per bit range for gigabit
throughputs. That's important. And then in terms of levels of integration. And then
this -- sometimes people ask us what's our differentiation or what's the insight. But it's
not a simple answer because these are really all correlated.
So, for example, most of the things that people are working right now that are compact
antennas that are in the literature are going to be about zero to 5 dBI of gain. Right
Jerrell? Yeah, actually probably less than that in reality. So say a few dB. So you could
imagine if I'm giving up about 10 dB of gain, that translates into mini, mini, milliamps
that I'm burning on the transmitter, for example. So that all matters.
So that's our last slide. And with that, Steph, you want to -- okay. So you can I guess do
we need to ->> Darko Kirovski: We need some time for some questions.
>> Joy Laskar: Questions?
>> Darko Kirovski: We've got some questions.
>>: You know what I actually wanted to ask, what if you have noise coming within the
same channel when -- with a -- one receiver is talking to two transceivers, transmitters
and one of the transmitters is the one that you actually want to get the data from but the
other one is just white noise? Is this going to affect much the performance [inaudible]
how do you handle the noise?
>> Joy Laskar: So -- go ahead.
>> Stephane Pinel: This is part of the [inaudible] protocol.
>>: So it is like TDMA or?
>> Stephane Pinel: Yes. It's a TDMA protocol, so basically -- I mean, like wi-fi, you
have devised association. What one of the particularity -- I mean, there is many flavor
obviously in the standard development, but one other thing which is important is mark
efficiency. So how much time you spend establishing the communication and checking
what is the time you spent actually transmitting something. So it's very important to
optimize a mark efficiency and go beyond 80 percent if you want to leverage the
capabilities of the channel.
So since like reservation-based protocol, where up front you return the time of the year
for that. For example, when you transmit high-definition video, nobody going to kick in
and basically interfere with you.
These kind of things are all worked out in the [inaudible] in the protocol year to
guarantee maximum throughput whether you transfer a file or whether you do a video.
>> Joy Laskar: Yeah. And I think the -- that's an important -- the wave Stephane
[inaudible] is important too. Because I think sometimes, especially early days of the
standards, people -- you know, there were a lot of different thoughts about initially what
are you going to do with 60 gigahertz, right? It's going to be the next wi-fi.
Now, the reality is it is not a local area network. It's not going to go room to room. I
mean, you can talk about architecture, you can do that, I'm going to have to have a
repeater, et cetera, et cetera. So once you kind of work through some of that, it really is
some form after PAN.
And then the other thing is to assess the point. But if you were to say, okay, what are the
specifications that justify 60 gigahertz, well, it's got to be multigigabit over the year. If
it's not multigigabit, not so useful.
So then you start say, okay, well, then what do I have to do to preserve the multigigabit
nature of this? Well, I need -- I want to have a very high Mac efficiency. You know, I
can't be a 20 percent Mac efficiency like EWB or wireless USB. By its very nature you
want it to be in some sense a relatively like Mac to exploit the channel.
So that sort of starts to develop certain use cases. So I think my sense is that if you look
at what happened over time with the ECMA then ISO process, and some of that is
feeding into the Y-gig [phonetic] process, I think there's some recognition of that.
So probably what would happen -- so then there's the question of how is this going to
work with wi-fi. So I think we would say 60 gigahertz does not replace Bluetooth or
wi-fi; it augments. It's almost like you go into this, quote, turbo mode, if you're going to
look at it from that compatibility mode.
And already the Bluetooth sigs and the wi-fi groups are looking at that as their gigabit
kind of turbo mode. And that's going to take a while to work out.
But in the near term, these use cases can be enabled now. And if you do it in a certain
way, probably what's as important is I don't have to have a forklift upgrade on the back
end. We would argue -- we've talked about this. We would argue that in certain cases
you're talking about a firmware upgrade with the radio modem solution.
>>: Do you also have ways to identify how -- because everything have a directional
interface, how close you are to being connected to a -- well, in relative terms, I mean,
whether you're kind of going off -- similar to how a DVD is being read by a laser, like
[inaudible] one of the signs, one of the signals A, B, C, D, if it kind of goes higher than
the mechanics knows how to ->> Joy Laskar: How to adjust? Yeah.
>>: So do you guys have something similar to kind of adjust for what's a -- either the
transmitter or the receiver [inaudible]?
>> Joy Laskar: Sure. Yeah. Absolutely. You have automatic gig control, et cetera, so
you can adjust for link characteristics. Now, what happens in these channels is that the
rate of decay is very rapid.
>>: [inaudible]
>> Joy Laskar: Right. So it's almost like when you're synched and linked, you have
good margin. And then once you get into a gray area, it will deteriorate rapidly within
certain conditions.
>>: [inaudible] is just an extension of what you guys already said, but in one of your
earlier slides you showed interfaces to a bunch of existing standards. If you turned that
around and said here are the characteristics of this range of devices, do they suggest a
standard that preserves low-power operation, takes full advantage of what this will do?
>> Joy Laskar: Absolutely. That's actually a very good question. So we didn't talk
about this. The way we look at it, there's -- you can bin the use case in maybe two or
three categories. The original category that most folks were thinking about, about 60
gigahertz or got a lot of play in the literature is basic cable replacement. Meaning I go to
Best Buy, get my HDTV and I don't want to have an HDMI cable.
So one is cable replacement, and then with the idea that if I had a room like this and I
want full omni coverage in that cable replacement scenario. But then the other use cases
are around connectivity in general with an untethered device, the side loading secondary
monitor.
So to your point, where there's been some divergence, I think, in the standardization has
been thinking about the different use cases. And I think you could say -- I think it's fair
to say that if you -- for every one device of cable replacement, you know, I've got some
huge number, many more for local connectivity.
So what's probably happened over the last 12 months is the kind of local connectivity
scenario is the one that's getting a lot more momentum. But there people are basically
demanding that it has to be a -- the boundary conditions are literally orders of magnitude
different. And that's I think been percolating through.
So what's happening at the standards bodies are -- so the ISO will have a standardized,
approved area interface for 60 gigahertz probably announced, I think, officially by
September. But it won't have any kind of marketing arm behind it. It will be -- it was a
fast-tracked ISO process. So that will be there. That actually supports all the use cases
we just mentioned. And they talk about inoperability, et cetera.
Then you have other alliances that have formed. I think some of them are going to -- and
actually one that I don't know if anyone here is involved with Y-gig, so I don't want to
misstate, but there's a Y-gig alliance which folks like Intel, et cetera, are promoting. That
probably adopts some of the ISO things. Then there's also a focus on how you're going to
be compatible with a wi-fi.
So I think those things are probably where we see the most momentum and that go to
these untethered local connectivity scenarios. More questions? Steph, you want to do the
demo?
>> Stephane Pinel: So what we brought here is one of the two demo that we have. So
the first demo just very briefly is related to the table that Joy has shown, this one. So
where we actually demonstrate a [inaudible] data transfer over the air. To your point, it's
not a wi-fi replacement, so it has been tailored and specially designed, optimized
following the ISO guidelines so that we maximize the throughput. And you could
download, for example, a DVD in less than 10 seconds.
So then ask yourself what can you do with this. You have an EMMC storage capabilities
right now that putting out 100 to 200 megabyte that are transfer [inaudible] drive over a
300 megabyte data transfer. So you can clearly leverage the speed over the air with 60
gigahertz.
So the second use case is once you have all this let's say video on your device how you
can stream this from your device to your screen. And this is what we demonstrate here.
So what we have here is -- and I invite you to get closer if you want to have a closer look.
What we have here is a reference design of a phone, what we call a brick phone. So it's
an exploded version of a phone with all the components, the application processors, the
LCD screen. And here there is a video coprocessor putting out 720p of full HD
resolution. Coming out of the [inaudible] platform on a [inaudible] HDMI connector.
>> Joy Laskar: So just to put that in perspective, this is a real phone platform. This will
be in Asia second half of this year.
>> Stephane Pinel: Yes. By the end of the year.
>> Joy Laskar: And it will be in North America next year. So the point is you'll have
720 video camcorder capability as well as streaming capability on your phones. So it's
not fictional; it's happening.
>> Stephane Pinel: So on that phone -- on that platform you have HDMI, you have also
other connection like MIPI interface as well. All of that. And you have also HD camera.
So the crappy HD camera you have on your phone very soon is going to be full HD, so
you can have also a user-generated HD content.
Bottom line, your device capacity will be saturating with a lot of videos.
And what we do, so we take this video, roll output and compressed directly serializing to
a bit stream that is feeding the evaluation kit we have here. So I have another version
here. So what we have is a QFN form factor here that include -- the chip is really what
you have over there. It's a chip, so standard [inaudible] 2 1/2 millimeter on the side. It's
antenna embedded within the plastic package and it's turned out QFN foot print.
So from a system [inaudible] point of view, you reflow that with audios and
microcontroller and device on your [inaudible] you don't need to worry about 60
gigahertz and you just position that -- for example, you pop out [inaudible] connector and
you just have a [inaudible] solution instead like that. [inaudible] and so the video is sent
directly to the radio, send over the air, and directly back to the screen.
All of this is working off AAA batteries, so it's total power consumption of 200 milliwatt.
So for 200 milliwatt overhead, you have your [inaudible] connectivity.
Right now this is about 1 to 3 meters, and this is at 10 minus 9. So this is really cable
replacement quality that is needed for this [inaudible] to synch. With a little bit more
processing on the [inaudible] side, you can extend that 5 to 10 meters.
So that's -- if you want to play with it and see what other ->> Joy Laskar: And it's 60 gigahertz. So this particular solution -- so we have different
variations that we have more ruggedized. But, yeah, you can take it down if you put your
finger over it. This is about a 60-degree beam width. So you can see if you're talking
about -- we don't have our air correction on right now. I think in about a quarter we'll
have our next-generation chip. It will have all the air correction. This is just a serializer
box here and a little driver amp here. Those all get integrated.
So you can see, then, like Steph was saying, this is a 10 minus 9 bit error rate. You add
some simple Reed-Solomon block code on top of that, then you can work with up to 10
minus 6 type of loss.
And in this configuration, this goes from, again, about a 60 -- let me put it in synch again.
About a 60 degree typically type of scenario. Then if you add a little bit of effect to it,
you get about a 90 degree. So we can show where you literally are like this or like this,
and you'll maintain the synch.
So it's not in that sense very alignment, you know -- not much alignment is necessary.
>> Darko Kirovski: That's across both [inaudible]?
>> Joy Laskar: Yeah.
>> Darko Kirovski: So if you tilt up [inaudible]?
>> Joy Laskar: Yeah. This one is not going to be as robust as our other one, but, yeah,
roughly.
>> Stephane Pinel: About 60. And that's the [inaudible] video link. So bits in/bits out, if
you lose a bit, you lose a synch [inaudible].
>> Joy Laskar: Yeah. And this is telling you your frames per second.
>> Stephane Pinel: So this is, for example, 60 frame per second up to [inaudible] second
for 720p.
>>: So considering that the RAM prices are like relatively low -[multiple people speaking at once]
>> Joy Laskar: Yeah. You can ruggedize this pretty easily. We haven't done that yet.
That's why our next version, you know -- it's going to be much more ->> Darko Kirovski: [inaudible] can you aim it -- like if you put a cone around it?
>> Stephane Pinel: If you put a -[multiple people speaking at once]
>> Stephane Pinel: So this one has a [inaudible]. So the beam will go from 60 degrees
in that case to about 5 degrees, 5 to 7 degrees. So in that case you get more gain. This is
working off [inaudible] dBI gain. So it's a modest gain but a broader range. And you
don't need electronic steering. And this is how you make this happen on AAA battery.
On the screen side, you can afford [inaudible] and here you can embed the -- this solution
on that side only. And then you can benefit from an additional 10 dBs, that's going to put
you like, you know ->>: So I guess request what about [inaudible] I imagine a lot of setups you sort of have
your TV and put your DVD player underneath. It'd be nice to remove all the wires.
Obviously work for it, but, I mean, can -- is there any way to get this to go through a
table or something?
>> Stephane Pinel: Yes. So, for example, you can have a -[recording ends]
Download