Base Station Design for a

advertisement
Base Station Design for a
Wireless Microsensor System
by
Andrew Yu Wang
Bachelor of Science in Electrical Engineering
University of Maryland, College Park, 1998
Submitted to the Department of Electrical Engineering and Computer
Science in partial fulfillment of the requirements for the degree of
Master of Science in Electrical Engineering and Computer Science
at the
MASSACHUSETTS INSTITUTE OF TECHNOLOC Y MASSACHuSETTS
INSTITUTE
OF TECHNOLOGY
September 2000
OCT 2 3 2000
LIBRARIES
@ Massachusetts Institute of Technology 2000. All rights reserved.
BARKER
Author ....
........
Department
Certified by...
........
lectrical Engineering and Computer Science
August 31, 2000
................................
Charles G. Sodini, Ph.D.
Professor of Electrical Engineering
h'esjs:6upgvisor
/
Accepted by...............
Arthur C. Smith, Ph.D.
Chairman, Department Committee on Graduate Students
Base Station Design for a
Wireless Microsensor System
by
Andrew Yu Wang
Submitted to the Department of Electrical Engineering and Computer Science
on August 31, 2000, in partial fulfillment of the
requirements for the degree of
Master of Science in Electrical Engineering and Computer Science
Abstract
Wireless microsensor systems are used in a variety of civil and military applications
with the objective of detection, classification and/or localization. The main design
objective is to minimize the energy consumption of the microsensor node. The design
issues involved are quite different from those faced by conventional wireless data and
voice applications. In particular, the RF output power is small due to the short transmission distances, which make the microsensor transmitter electronics the dominant
source of energy consumption.
The research presented in this thesis attempts to bring the circuit and system
level issues together to analyze the transmitter energy consumption as a whole. Both
the RF output power and the transmitter electronics power are considered, and the
energy is minimized on the global level. Three strategies are found to reduce the
energy consumption: 1) M-ary modulation, where noncoherent M-FSK is shown to
be a good choice; 2) raising the RF output power to reduce the complexity of key
transmitter components; 3) coding and diversity techniques. In addition, a digital-IF
base station architecture is proposed to maximize design flexibility.
Thesis Supervisor: Charles G. Sodini, Ph.D.
Title: Professor of Electrical Engineering
3
4
Acknowledgments
The completion of this thesis could not have been possible without the help and
support of a number of people. I would like to thank all of my colleagues for providing
technical assistance and all of my friends for providing warmth and laughs.
First and foremost, my whole-hearted gratitude goes to my advisor, Professor
Charles Sodini, whose insight, guidance, and encouragement have led me this far. I
wish the Red Sox will win a big one for you.
Special thanks goes to Snorre Kjesbu from ABB Group. His visits have answered
so many of the questions we had regarding wireless microsensor systems.
Appreciation is extended to all my colleagues in the office. SeongHwan Cho's
super-sharp intuition has helped me to look into the right issues. Aiman Shabra is
extremely helpful whenever I am confused with my derivations. Kush Gulati is always
there to argue about whether to have Indian or Chinese. Don Hitko has provided
several good opportunities for me to vent my frustrations on tennis balls - hockey
style. Dan McMahill's thesis proposal is simply a gold mine. Thanks goes to the
rest of the crew who joke about me being the first who did not make a chip: Iliana
Fujimori, Susan Dacy, Mark Peng, Pablo Acosta-Serafini, Mark Spaeth, and Ginger
Wang.
Many thanks go to my friends who have made MIT a fun place to stay. In
particular, Thit Minn has taught me numerous practical ideas in communications
theory. His amazing memory directed us to many good restaurants in peculiar places.
Irina Medvedev and Anne Pak have provided valuable suggestions on the first draft
of this thesis. Mike Neely has always been there with me in the morning work-out,
even when his shoulder was hurt. John Rodriguez has been a wonderful roommate,
although his rolling pin created quite some confusion for visitors.
Finally, I would like to thank my mom and dad for always being there. Thank
you for your support, and for allowing me to explore my own interests. This thesis is
for you.
This work is sponsored, in part, by the National Science Foundation Graduate
Fellowship, and by the ABB Group.
5
6
Contents
1
Introduction
17
1.1
Wireless Microsensor Systems . . . . . . . . . . . .
17
1.2
Design Objective and Approach . . . . . . . . . . .
19
1.3
Thesis Focus . . . . . . . . . . . . . . . . . . . . . .
. .
20
1.4
Thesis Outline . . . . . . . . . . . . . . . . . . . . .
. .
21
2 Base Station Design - System Level Issues
3
23
2.1
Transmitter Energy Minimization . . . . . . . . . . . . . . . . . . . .
23
2.2
Binary Versus Multi-level Modulation . . . . . . . . . . . . . . . . . .
26
2.2.1
a versus tstart: Using the Basic Assumptions . . . . . . . . . .
29
2.2.2
ce versus tstart: Large ton
31
2.2.3
a versus tatart: Large PRF................
2.2.4
Observations
. . . . . . . . . . . . . . . . . . . . .
- .. ... - .. .
32
. . . . . . . . . . . . . . . . . . . . . . . . . . .
32
2.3
Reducing Transmitter Complexity . . . . . . . . . . . . . . . . . . . .
33
2.4
Reducing RF Output Power . . . . . . . . . . . . . . . . . . . . . . .
36
2.5
Sum m ary
37
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Base Station Design: Architectural Issues
39
3.1
Direct Conversion Receiver . . . . . . . . . . . . . .
39
3.2
Single-IF Conversion . . . . . . . . . . . . . . . . .
42
3.3
Dual-IF Conversion . . . . . . . . . . . . . . . . . .
43
3.4
Digitizing the IF
. . . . . . . . . . . . . . . . . . .
45
3.5
Sum m ary
. . . . . . . . . . . . . . . . . . . . . . .
47
7
4
Detection in White Gaussian Noise Channel
49
4.1
AW GN Channel ................
. . . . . .
50
4.2
Optimal Detection Theory ..........
. . . . . .
52
4.2.1
Matched Filter Receiver ........
. . . . . .
52
4.2.2
Correlator Receiver ..........
. . . . . .
53
4.2.3
Maximum Likelihood Receiver . .
. . . . . .
54
4.3
Performance of the Optimal Receiver . . . .
. . . . . .
55
4.4
Sub-optimal Detection . . . . . . . . . . . .
. . . . . .
60
4.5
Classes of Modulation
. . . . . . . . . . . .
. . . . . .
62
4.5.1
On-Off Keying
. . . . . . . . . . . .
. . . . . .
62
4.5.2
Phase Shift Keying . . . . . . . . . .
. . . . . .
64
4.5.3
Quadrature Amplitude Modulation .
. . . . . .
67
4.5.4
Frequency Shift Keying . . . . . . . .
. . . . . .
68
. . . . . .
72
4.6
Sum m ary
. . . . . . . . . . . . . . . . . . .
5 Detection in Multipath Fading Channel
75
. . . . . . . . . . . . . .
. . . . . .
76
5.1.1
General Description . . . . . . . . . .
. . . . . .
76
5.1.2
Indoor Environment
. . . . . . . . .
. . . . . .
78
Small-Scale Fading . . . . . . . . . . . . . .
. . . . . .
79
5.2.1
Channel Characterization
. . . . . .
79
5.2.2
Multipath Delay Spread and Coherent Bandwidth
. . . . . .
80
5.2.3
Coherence Time and Doppler Spread
. . . . . .
81
5.2.4
Frequency-nonselective Slowly-Fading Channel
. . . . . .
82
5.2.5
Rayleigh Channel Modeling . . . . .
. . . . . .
85
Link Budget Analysis . . . . . . . . . . . . .
. . . . . .
85
5.3.1
Frequency Allocation . . . . . . . . .
. . . . . .
86
5.3.2
Link Budget . . . . . . . . . . . . . .
. . . . . .
86
5.4
Mitigation Methods . . . . . . . . . . . . . .
. . . . . .
90
5.5
Sum m ary
. . . . . .
91
5.1
5.2
5.3
Large-scale Fading
. . . . . .
. . . . . . . . . . . . . . . . . . .
8
.
6
Simulation Results
93
Simulation Tools
6.2
Complex Envelope Representation . . . . . . . . . . . . . . . . . . . .
95
6.3
System Level Model
. . . . . . . . . . . . . . . . . . . . . . . . . . .
95
6.3.1
The Modulator Block . . . . . . . . . . . . . . . . . . . . . . .
97
6.3.2
The Channel Block . . . . . . . . . . . . . . . . . . . . . . . .
97
6.3.3
The Demodulator Block
. . . . . . . . . . . . . . . . . . . . .
99
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
100
6.4
............................
. 93
6.1
Simulation Results
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
6.4.1
M -PSK
6.4.2
F SK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
7 Conclusions
107
7.1
Summary
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
107
7.2
Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
109
A Schematics and Figures
111
9
10
List of Figures
1-1
A wireless microsensor network
. . . . . . . . . . . . . . . . . . . . .
18
1-2
Top-level system design approach . . . . . . . . . . . . . . . . . . . .
21
2-1
A generalized transmitter architecture
. . . . . . . . . . . . . . . . .
24
2-2
tstart
vs. ton for binary and 16-PSK . . . . . . . . . . . . . . . . . . .
26
2-3
& VS. tstart
using the basic assumptions . . . . . . . . . . . . . . . .
30
2-4
a
VS.
tstart
large
32
2-5
a
VS.
tstart
large PRF . . . . . . .
2-6
BPSK BER degradation due to static carrier phase error . . . . . . .
34
2-7
BER of noncoherent FSK with frequency error . . . . . . . . . . . . .
35
3-1
Direct conversion receiver and the problem of self-mixing . . . . . . .
40
3-2
Constellation due to phase and gain error . . . . . . . . . . . . . . . .
41
3-3
Single-IF conversion receiver . . . . . . . . . . . . . . . . . . . . . . .
42
3-4
Image rejection vs. channel selectivity
. . . . . . . . . . . . . . . . .
44
3-5
Dual-IF conversion receiver
. . . . . . . . . . . . . . . . . . . . . . .
44
3-6
Digitization at the IF frequency . . . . . . . . . . . . . . . . . . . . .
46
4-1
Simplified model of a digital communications system
. . . . . . . . .
50
4-2
The Additive White Gaussian Noise (AWGN) channel . . . . . . . . .
51
4-3
Autocorrelation and power spectrum of white noise . . . . . . . . . .
51
4-4
Ideal linear demodulator . . . . . . . . . . . . . . . . . . . . . . . . .
52
4-5
Maximizing the inner product . . . . . . . . . . . . . . . . . . . . . .
53
4-6
A correlator receiver
54
ton
. . . . . . . . . . . . . . . . . . . . . . . . . . .
. - . . . . ..
-.
. . . . ..
. . . . . . . . . . . . . . . . . . . . . . . . . . .
11
33
4-7
Maximum likelihood matched filter receiver . . . . . . . .
. . . . . .
54
4-8
Maximum likelihood correlator receiver . . . . . . . . . .
. .. ...
55
4-9
Signal constellation of binary antipodal signaling . . . . .
. . . . . .
57
4-10 Error probability calculation based on nearest neighbors
. . . . . .
58
4-11 Using Sinc function to perform pulse shaping . . . . . . .
. . . . . .
59
4-12 Spectra of raised-cosine filter with various roll-off factor .
. . . . . .
60
4-13 M-ary noncoherent receiver
. . . . . . . . . . . . . . . .
. . . . . .
61
4-14 Signal constellation of on-off keying . . . . . . . . . . . .
. . . . . .
63
4-15 OOK noncoherent detection . . . . . . . . . . . . . . . .
. . . . . .
63
4-16 Signal constellations of BPSK, QPSK, and 8-PSK . . . .
. . . . . .
64
4-17 BER curves for M-PSK . . . . . . . . . . . . . . . . . . .
. . . . . .
65
4-18 M-PSK Quadrature modulator . . . . . . . . . . . . . . .
. . . . . .
66
4-19 M-PSK Quadrature demodulator
. . . . . . . . . . . . .
. . . . . .
66
4-20 M-QAM Constellation for M = 4, 16, 64 . . . . . . . . .
. . . . . .
67
4-21 Correlation between two Sinusoids separated by Af . . .
. . . . . .
69
4-22 M-FSK bit error rate versus Eb/NO . . . . . . . . . . . .
. . . . . .
70
4-23 Direct VCO modulation of MSK signaling . . . . . . . .
. . . . . .
71
4-24 MSK detection with frequency discriminator . . . . . . .
. . . . . .
72
4-25 SNR versus bandwidth efficiency at BER = 10-5
. . . . . .
73
. . . .
5-1
Multipath propagation channel characterization
5-2
Response of a multipath channel to a narrow pulse
. . . . . . .
79
5-3
Multipath intensity profile and transform . . . . . . . . . . . . .
80
5-4
Spaced-time correlation function and transform
. . . . . . . . .
82
5-5
Bit error rate in Rayleigh fading channel . . . . . . . . . . . . .
84
5-6
Modeling of Rayleigh channel with Doppler spread
. . . . . . .
85
5-7
Link budget analysis for fading channels
. . . . . . . . . . . . .
87
5-8
Transmit power versus bandwidth efficiency in Rayleigh channel
89
5-9
Techniques for improving SNR in fading channel . . . . . . . . .
91
6-1
SPW connects software simulation to hardware implementation
94
12
. . . . . . . . .
76
6-2
Simulation model and block diagram
. . . . .
. . . . .
96
6-3
Basic modulator block diagram
. . . . . . . .
. . . . .
97
6-4
AWGN Channel Block Diagram . . . . . . . .
. . . . .
97
6-5
Continuous versus discrete time representation of signals
. . . . .
98
6-6
Rayleigh channel for small Doppler spread
. . . . .
99
6-7
2-PSK BER degradation in AWGN channel
. . . . .
103
6-8
2-PSK BER degradation in Rayleigh channel
. . . . .
103
6-9
4-PSK BER degradation in AWGN channel
. . . . .
104
6-10 4-PSK BER degradation in Rayleigh channel
. . . . .
104
6-11 8-PSK BER degradation in AWGN channel
. . . . .
105
6-12 8-PSK BER degradation in Rayleigh Channel
. . . . .
105
6-13 Noncoherent MSK BER degradation in AWGN Channel
. . . . .
106
6-14 Noncoherent MSK BER degradation in Rayleigh channel
. . . . .
106
. . . . . . . . . . . . . . .
112
A-2 Unfiltered and filtered BPSK baseband signals . . . . . . . . . .
113
A-3 Eye-diagram of BPSK signal with raised cosine filtering (a=1) .
113
A-4 FFT of unfiltered BPSK baseband signal . . . . . . . . . . . . .
114
A-5 FFT of raised cosine filtered (a = 1) BPSK baseband signal
. .
114
A-6 QPSK/MPSK test system . . . . . . . . . . . . . . . . . . . . .
115
A-7 Rayleigh channel based on two independent Gaussian generators
116
A-8 Rayleigh channel based on PMF generation
. . . . . . . . . . .
116
. . . . . . . . . .
117
A-10 GMSK modulator test system . . . . . . . . . . . . . . . . . . .
118
A-11 GMSK I/Q channels waveforms - Quadrature modulator . . . .
119
A-12 GMSK magnitude/phase waveforms - FM modulator . . . . . .
119
A-13 GMSK (BT=0.5) coherent detection I-channel eye diagram . . .
120
A-14 GMSK (BT=0.5) coherent detection Q-channel eye diagram
. .
120
A-15 GMSK (BT=0.3) coherent detection I-channel eye diagram . . .
121
A-16 GMSK (BT=0.3) coherent detection Q-channel eye diagram
121
A-1 BPSK/QPSK modulator test system
A-9 QPSK/MPSK demodulator block diagram [1]
13
. .
A-17 Noncoherent MSK test system . . . . . . . . . . . . . . . . . . . . . .
122
A-18 MSK frequency discriminator demodulator . . . . . . . . . . . . . . .
123
A-19 MSK frequency discriminator output waveforms . . . . . . . . . . . .
124
A-20 Frequency discriminator output eye diagram (BW=0.5/T)
. . . . . .
124
A-21 Frequency discriminator output eye diagram (BW=0.3/T)
. . . . . .
125
14
List of Tables
1.1
Wireless microsensor system specifications
. . . . . . . . .
20
2.1
Comparison of RF output power and bandwidth occupancy
27
2.2
Summary of variables for Equations (2.4) and (2.5)
. . . .
28
2.3
RF output energy versus transmitter energy
.
29
2.4
Energy savings based on Figure 2-3 . . . . . .
31
2.5
Energy savings based on Figure 2-4 . . . . . .
31
2.6
Energy savings versus transmitter complexity
36
3.1
DSP and ASIC/FPGA task allocation chart
47
4.1
Bandwidth efficiency of M-PSK signaling .
67
5.1
Summary of variables for Equation (5.1)
. . . . . . . . . . . . . . . .
77
5.2
Summary of typical path loss exponent values . . . . . . . . . . . . .
77
5.3
Summary of typical path loss data for indoor environment
. . . . . .
78
5.4
FCC restrictions on U-NII Band . . . . . . . . . . . . . . . . . . . . .
86
5.5
Assumptions used in the link budget analysis . . . . . . . . . . . . . .
88
5.6
Link budget analysis results . . . . . . . . . . . . . . . . . . . . . . .
88
6.1
Summary of variables for Equation (6.4)
7.1
Energy minimization trade-offs
. . . . . . . . . .
96
. . . . . . . . . . . . . . .
108
15
Chapter 1
Introduction
The wireless communications market has experienced an explosive growth in the past
decade. There were over 160 million cellular phone handsets sold in 1998 [2]. The
sales of mobile communications equipment and services for the European market was
estimated to be 30 billion dollars in the same year [3]. In addition, other wireless applications such as Wireless Local Area Networks (WLANs), Global Position Systems
(GPS), and Personal Communications Services (PCS) have grown as rapidly.
This rapid growth in the commercial market has generated a tremendous amount
of research interest in radio frequency (RF) technology. In particular, as portable
battery-powered devices become more ubiquitous, there is an ever increasing demand
in low power and low cost design methodologies. At the Massachusetts Institute of
Technology, the ultra low power radio project is a collaborative research effort whose
goal is to investigate and develop novel circuit techniques and system architectures
for wireless microsensor systems.
1.1
Wireless Microsensor Systems
Wireless microsensor systems are used in a variety of civil and military applications
with the objective of detection, classification, and/or localization. Some examples
include security monitoring, machine diagnosis, and chemical or biological detection.
As shown in Figure 1-1, such a system is composed of numerous energy-constrained
17
sensor nodes and a much smaller number of high-powered base stations [4].
The
sensors collect data and send them to the base stations for processing.
o~
00
0
0
00
0
00
00
00
>00
000
00
0
\f'
0
0l
0 sensor node
0)
000
Figure 1-1: A wireless microsensor network
The wireless microsensor system is an emerging market technology that is quite
distinctive from both conventional voice and data applications. The following section
discusses its unique features and how they affect design choices.
" High cell density - A wireless sensor network contains as many as several thousand sensor nodes within a small area. Thus, they provide both extensive spatial
coverage and significant fault tolerance. However, this imposes a challenge in
the design of energy and bandwidth efficient multi-access schemes.
" Ad-hoc distribution - Spatial distribution is ad-hoc, and each sensor may have
a very different transmit path. This means some sensors could have line-ofsight (LOS) transmission while others might be totally obstructed from the
base station. This not only creates difficulty in estimating the transmit power
but also increases the dynamic range of the received signal.
" Ease of deployment - Sensor nodes should require minimal installation and
virtually no maintenance. This implies that the protocols have to be simple as
well as highly reconfigurable.
18
"
Low mobility - Sensors are confined to a small area, so they are either static or
are restricted in mobility. This means that a slow fading environment with low
Doppler spread is expected.
" Low data rate - The data rate is typically as low as a few kilobytes per second,
and each data packet may contain only a few hundred bits. This favors a dutycycled bursty transmission scheme where the transmitter is turned off most of
the time.
" Low latency - Packets are required to arrive at the base station within a small
time delay. This puts a restriction on the maximum delay of the bursty transmission scheme. In addition, error correction protocols that require retransmission
are clearly unfavored since they will increase delay.
" Short transmission distance - Typical transmission distance is tens of meters.
The transmit energy is small enough that the sensor node electronics become
the dominant source of energy consumption. As will be explained in Chapter
2, this characteristic plays a key role in our design approach.
" Asymmetric data link - Only one-way communication from the sensor to the
base station (uplink) is required. Base station to sensor communication (downlink) is used only for synchronization purposes.
" Volume constraint - The sensor is required to be compact, which imposes severe
constraints on transmitter complexity.
1.2
Design Objective and Approach
The ultimate goal of the low power radio project is to maximize the battery life of the
sensor nodes while complying with all the other requirements stated above. Sensor
transmitter power consumption is the bottle-neck since the system lasts only as long
as the sensors do. Table 1.1 shows detailed specifications for a system that monitors
machine operations in a factory environment (provided by ABB Co.). This system is
19
chosen as a design example because it presents some very interesting design challenges
and trade-offs. In particular, the battery life span of 5-10 years implies that the total
transmitter power has to be kept in the milliwatt regime. At this time, no commercial
solution is known to satisfy this requirement.
Cell density
200 - 300 in 5mx5m area
2000 - 3000 nodes in 100mx100m area
Range of link
Message rate
(msg = 2bytes)
Error rate
and latency
Battery life
size
< 10m
average: 20 msgs/sec
maximum: 100 msgs/sec
minimum: 2 msgs/sec
10-6 after 5ms
10-9 after 10ms
10-12 after 15ms
5-10 years
one AA size battery
Table 1.1: Wireless microsensor system specification for machine monitoring applications
In order to achieve the above specifications, energy efficient solutions must be
found at all levels of abstraction.
collaborative project.
Figure 1-2 shows the key design tasks in this
On the system level, energy and bandwidth efficient multi-
access protocols, multi-level modulation schemes, and coding/diversity techniques are
considered. On the architecture level, novel transmitter and base station architectures
are explored. On the circuit level, various low power, low noise, and high sensitivity
circuitry are investigated.
1.3
Thesis Focus
The focus of this thesis includes the bold-lettered sections shown in Figure 1-2. The
objective of this thesis is to explore base station receiver design methodologies that
help the transmitter (i.e., the sensor) to achieve energy minimization. This can be
accomplished on both the system and architecture levels. On the system level, various
modulation schemes are studied and suitable modulation/demodulation techniques
20
WIRELESS MICROSENSOR SYSTEM
System:
-multi-access
-transmitter
-TDM/FDM/hybrid
-modulation
-OOK/PSK/FSK
-binary/M-ary
-coding/diversity
Circuit:
Architecture:
-overall planning
-fast start-up FS
-low power
-high sensitivity
-receiver
-architecture choice
-wideband ADC
-digital demodulator
Figure 1-2: Top-level system design approach
are suggested. On the architecture level, a wideband digital-IF receiver architecture
is chosen based on an extensive study of various existing receiver architectures.
1.4
Thesis Outline
The remaining chapters of this thesis present further analysis and details of the
project. Chapter 2 presents research results on modulation techniques with a focus
on energy minimization. Chapter 3 develops a receiver architecture that is suitable
for wireless microsensor systems. Chapter 4 analyzes various modulation schemes in
additive white Gaussian noise (AWGN) channel. Chapter 5 introduces the multipath
model, which is more appropriate for the wireless environment, and suggests remedies
against fading loss. Chapter 6 details simulation approach and discusses the results.
Chapter 7 summarizes the project and suggests areas of future work.
21
Chapter 2
Base Station Design - System
Level Issues
This chapter explains a unique base station design methodology we have developed,
which we call the global energy minimization approach. The goal of the thesis, as
mentioned in the introduction, is to explore base station design methodologies that
help the transmitter to achieve energy minimization. Specifically, the global transmitter energy consumption equation is examined to find the most relevant system
and architectural issues that affect the design of the base station. Since the base
station has no limitation in power consumption or complexity, all design trade-offs
are leveraged toward those that reduce the transmitter energy, which is taken as the
main design criterion.
Much of the issues discussed in this chapter are built upon the results derived
in later chapters. For readers who are not familiar with wireless communications
concepts, Chapter 4 is a good place to start. The readers may return to this chapter
after browsing through Chapters 4 and 5.
2.1
Transmitter Energy Minimization
Figure 2-1 shows a generalized transmitter architecture. The baseband modulator
performs constellation mapping and spectral shaping. The baseband output signal
23
is modulated up to the carrier frequency, or RF, by the frequency synthesizer. This
RF signal is then amplified by the power amplifier (PA) and transmitted through the
antenna.
Baseband
Modulator
P
Synthesized
LO
Figure 2-1: A generalized transmitter architecture
The raw data rate for a microsensor system is low, typically a few kbits/s, so
the transmitter employs a burst transmission scheme. The transmitter is on only for
a short time during which the accumulated data is sent at a high rate. Based on
bandwidth availability, the symbol rate is set at lMsymbols/s.
Since the transmitter is duty-cycled, average energy dissipation per cycle is used
as a performance metric. This energy dissipation is given by
Et=t = Estart + Eon = Pstart - tstart + Pon - ton
The total transmitter energy dissipation is composed of two components:
(2.1)
Estart,
which is the energy dissipation during the start-up phase, and Eon, which is the
energy dissipation during the on-time (i.e., when the transmitter is sending data).
Pstart is the average power dissipation during the start-up phase, and tstart is the
time duration of the start-up phase. During
tstart,
all transmitter electronics are off
except the frequency synthesizer. The start-up phase is complete when the frequency
synthesizer settles to the desired RF frequency. Therefore, Ptart is simply the average
24
power of the frequency synthesizer, PFS,
Pstart = PFS
(2.2)
As shown in Figure 2-2, tstart is significant compared to t0 n; thus, minimizing the
start-up time is a key to reducing total energy dissipation. An important research
topic in the wireless microsensor system project is the design of a fast turn-on frequency synthesizer. It has been shown that by applying novel design techniques, the
turn-on time of the frequency synthesizer can be kept below 10ps [4].
ton is the total on-time, and Pon is the average power dissipation during on-time.
It can be written as
Pon = PE + PRF
(2.3)
where PE is the average on-time electronics power, and PRF is the RF output power.
In order to achieve minimum energy dissipation, Etot must be minimized as a
whole.
Clearly, transmitter design affects Ptart, tatart, and PE. The question that
this thesis attempts to answer is: can the base station receiver design help to reduce
any of these terms? The answer is yes, and it lies in the system-level issues. The
following three strategies are found to affect the trade-offs between PE, PRF, and ton" Multi-level modulation decreases ton at the expense of increased PE and PRF.
Appropriate trade-offs can result in a reduced Eon.
" Increasing PRF may lower the performance requirements of certain critical transmitter components, which in turn reduces PE. When transmitter electronics are
the dominant source of power dissipation, the savings in PE can offset the extra
cost in PRF" Coding/diversity techniques reduce the RF output power. These techniques are
especially effective against fading loss in a multipath environment.
As expected, the trade-offs mentioned above are inter-connected, and the relationships among them are complex. Traditionally, design issues on the circuit level
25
are separated from those on the system level. In this project, an attempt is made to
tie all of the above strategies into a simplified but revealing relationship. The goal is
to bridge the circuit issues to the system issues so that a global energy minimization
solution may be found. The analyses in the following sections are tailored toward
wireless sensor systems, but the same techniques apply to any RF system.
2.2
Binary Versus Multi-level Modulation
Based on the specifications shown in Chapter 1, the data rate for a machine monitoring application is about 5.Okbits/s ( 2 bytes/mesg * 100 mesg/s + overhead). The
transmitter is turned on every 5ms (200 sensors per cell time-division multiplexed),
and the transmission rate is lMsymbols/s. With these specifications, a comparison
of
tstart
and to, for binary modulation and 16-PSK is given in figure 2-2. Note that
16-PSK reduces to, by a factor of 4, which can potentially reduce Eon.
16-PSK
Binary Modulation
t
t
start
(-Ous)
start
on
tstart
on
(-1Ous) (-6us)
(-25us)
Figure 2-2:
t
vs. to, for binary and 16-PSK
In general, M-ary modulation reduces ton by a factor of r =log2 M. The cost of
this reduction is an increase in PE and either
PRF
(in the case of PSK and QAM) or
bandwidth (in the case of FSK). Table 2.1 shows the RF output power and bandwidth
occupation for various modulation schemes.
In Table 2.1, BW is the minimum bandwidth required to satisfy the Nyquist
criterion. -y is the RF output power normalized to that of 2-PSK. The table shows
how much extra RF output power is required for each modulation scheme as compared
to 2-PSK.
Clearly, the modulation schemes are divided into two distinct classes: 1) M-PSK
and M-QAM are bandwidth efficient modulation schemes whose applicability is lim26
Modulation
2-PSK
4-PSK
8-PSK
16-PSK
16-QAM
64-QAM
2-FSK*
4-FSK*
8-FSK*
16-FSK*
(*) noncoherent
r BW(MHz)
1
1
1
2
3
1
4
1
4
1
6
1
1
1
2
2
3
4
4
8
demodulation
PRF(mW)
.56
1.12
2.79
8.80
17.2
90.0
2.24
2.80
3.30
3.80
I
1
2.0
5.0
15.7
30.7
161
4.0
5.0
5.9
6.8
Table 2.1: Comparison of RF output power and bandwidth occupancy for various
modulation schemes
ited by the prohibitive increase in PRF; 2) M-FSK is a power efficient modulation
scheme whose applicability is limited by its excessive demand on bandwidth.
In addition to the increase in PRF or bandwidth, M-ary modulation puts more
stringent demands on transmitter electronics performance. For M-PSK and M-QAM,
the frequency synthesizer now has to contain a quadrature VCO, which increases its
power by a large proportion. Any distortion in the constellation causes more severe
performance degradation. Quantization error in the D/A converter in the baseband
modulator, phase noise of the VCO, and non-linearity of the power amplifier must
all be reduced. For M-FSK, the frequency synthesizer must also have a wide tuning
range, which increases the noise power in the loop bandwidth. Signal power must be
increased correspondingly to maintain the same SNR.
In order to compare the overall effects mentioned above, Equation (2.1) is rewritten in the form of (2.4) and (2.5). This was first proposed by SeongHwan Cho [4]. Em
is written in terms of the variables used in EB for the purpose of easy comparison.
The Greek alphabets represent the extra overhead energy, or the cost, required for
M-ary modulation systems. The variables used are summarized in Table 2.2.
EB
~
PFS ' tstart + (PB + PFS + PRF) ' ton
27
(2.4)
Em --
EB:
EM:
PFS:
PB:
PFS tstart + (PB + OPFS + 7PRF) ' ton(r
PRF:
energy dissipation for transmitter using binary modulation
energy dissipation for transmitter using M-ary modulation
frequency synthesizer power for binary modulation
transmitter electronics power (minus frequency synthesizer power)
for binary modulation
RF output power for binary modulation
tstart:
time interval of start-up phase
to,:
a:
#3:
(2.5)
time interval when the transmitter is sending data
overhead in the transmitter electronics power (minus the
frequency synthesizer power) when M-ary modulation is used.
overhead in the frequency synthesizer power when
M-ary modulation is used.
-y:
overhead in the RF output power when M-ary modulation is used.
r:
#
of bits per symbol= log 2 M
Table 2.2: Summary of variables for Equations (2.4) and (2.5)
In Equation (2.4), the total transmitter electronics power, PE, is written as
PE
(2.6)
PFS + PB
where PB includes all the transmitter electronics power, including the baseband modulator, mixers, etc. except the frequency synthesizer power PFS. PFS is isolated
because the frequency synthesizer is the dominant source of power dissipation. Unlike other typical RF applications, the power amplifier is not the dominant source of
power dissipation in wireless microsensor systems due to short transmission distance.
As shown in Table 2.3, the RF output energy as a fraction of the total transmitter
energy dissipation is, indeed, quite small.
M-ary modulation is more energy efficient than binary modulation when EI <
EB. Applying Equations (2.4) and (2.5) we arrive at a condition on the overhead
energy a as follows:
a < r +
r'P
EF
PB
ton
(1 -
)tstart + (1-
/1
-)t on +
r
t
28
RF
PB
(r--)
(2.7)
Modulation
2-PSK
4-PSK
8-PSK
16-PSK
I EQut/Em
3.4%
3.1%
6.0%
15%
11Modulation I EOut/Em
2-FSK
12%
4-FSK
7.3%
8-FSK
7.2%
16-FSK
7.1%
Table 2.3: RF output energy as a fraction of the total transmitter energy dissipation,
assuming PFS = 10mW, PB = 2mW, a =2-3, # = 1.75, tstart = 10ps, and t,,,, = 25ps
The above equation states that in order for M-ary modulation to be more energy
efficient, a has to be less than the quantity on the right hand side of Equation (2.7).
This puts a cap on the complexity of the transmitter circuitry.
The difficulty in evaluating Equation (2.7) lies in that the variables a,
PB,
/3, PFS,
and tstart are system parameters that depend on implementation details. At this
time, there is no experimental data available for these variables. However, reasonable
assumptions can be made to get good interpretations on Equation (2.7). Once experimental data is available, the equation can be evaluated easily. The basic assumptions
are: PFS = 10mW, PB = 2mW,
sumed PFS, PB, and
tstart
#
= 1.75, tstart = 10ps, and ton = 25ps. The as-
values are aggressive as compared to what are commercially
available. These numbers are what we intend to achieve with our design.
2.2.1
a versus tstart: Using the Basic Assumptions
Figure 2-3 plots a vs.
tstart
for various modulation schemes based on the above
assumptions. Because 16-QAM is less efficient than 16-PSK in a Rayleigh channel, it
is not included, and only 16-PSK is considered. 64-QAM is also excluded because it
consumes too much RF output power for the moderate gain in bandwidth efficiency.
In fact, the 64-QAM curve is below a
=
0, which means that 64-QAM will consume
more energy than 2-PSK even if the 64-QAM transmitter electronics (everything
except the frequency synthesizer) consume no power.
As shown in the figure, energy savings for M-ary modulation decrease as
tstart
increases. This makes intuitive sense because when tstart is long, the start-up energy
29
of the frequency synthesizer dominates, so the energy savings gained through the
reduction of to, are negligible. As tstart becomes shorter, the on-time energy dissipa-
tion becomes the dominant term, so reducing t0, through M-ary modulation achieves
significant energy savings. Therefore, reducing tstart not only decreases the start-up
energy
Estart
but also helps M-ary modulation to reduce the on-time energy E,".
PFS=0 mW,
t =25ps
6-PSK
--
-- - -
10
P3 =2mW, 5=1.75,
16-FSK
8
.
. . 8 PSK :... . . .. ...
8-F$K\
4
-
4-PSK
2
.
- .-..-.
.-
-.. -.
4-FSK
0
10
10
102
start
Figure 2-3: a vs. tstart
using the basic assumptions
The second important observation is that M-FSK becomes more efficient than
M-PSK at large M. M-FSK is not as energy-efficient at small M because noncoherent
detection requires 6dB more RF power to achieve the same BER performance. For
large M, the symbol SNR required for M-PSK grows very fast, which offsets the
energy savings gained through reduction of ton. The symbol SNR required for MFSK grows slowly, thereby making it very energy-efficient at large M. This makes
M-FSK attractive since M-FSK already has the advantage of not requiring carrier
synchronization.
Table 2.4 shows the energy savings achieved by M-ary modulation at tstart = 10ps
for various a values. "/" means that M-ary modulation consumes more energy than
2-PSK at that particular a. Clearly, 16-FSK out performs all the other modulation
30
schemes.
a
2
3
4
5
4-FSK
4-PSK
/
/
/
/
/
/
/
/
/
/
8-FSK
8-PSK
16-PSK
16-FSK
7.8% 3.8%
8.8% 4.8% .76%
12% 9.0% 6.0%
20% 17% 13%
Table 2.4: Energy savings based on Figure 2-3
2.2.2
/
3.0%
10%
(tstart =
1
uPs,
tstart =
25ps)
a versus tstart: Large ton
It is evident that the amount of energy savings depends on the ratio
ton/tstart.
The
larger this ratio is, the greater the savings. To verify this observation, Figure 24 shows the scenario when t,, is 100ps, which is 4 times greater than what was
assumed previously. This happens if the amount of transmit data is increased. It is
seen that the curves are shifted to the right as compared to Figure 2-3. This means
that at any given a, energy savings become greater. As shown in Table 2.5, energy
savings have increased by a factor of 2 or greater.
a
2
3
4
5
4-FSK
/
4-PSK
8-FSK
8-PSK
16-PSK
16FSK
3.7%
26%
27%
31%
40%
/
/
/
/
/
/
21%
22%
28%
37%
16%
18%
24%
33%
11%
13%
20%
29%
Table 2.5: Energy savings based on Figure 2-4
31
(tstart = 10ps, tstart
= 1OOPs)
PFS= 0mW, PB =2mW,
s=1.75, t
=100ps
12
16 FSK
10
~~~165--PSK-
-
8-.-S.K
8
8-FSK
4
4 PSK
24-FSK
01
10
0
102
10
tstr (s)
Figure 2-4: a vs. tstart : large ton
2.2.3
a versus tstart: Large PRF
Now consider what happens when the RF output power has to be increased. This
can be due to an increase in the transmitter-receiver distance, or that more RF power
has to be added to combat multipath fading.
Figure 2-5 shows the case when
PRF =
2.24mW, which is 4 times greater than
what was assumed previously. Clearly, M-ary modulation becomes out of favor. Only
16-FSK produces any significant savings at tstart = 10ps. This is because -y grows
faster than r, and when PRF is significant, the actual RF output power, PYPRF, is too
large even if to, is reduced by a factor of r.
2.2.4
Observations
M-ary modulation achieves the greatest energy savings when the ratio
ton/tstart
is
large and PRF is small (relative to PFS and/or PB). Since to, is usually determined
by the data rate, it is important to minimize
even more energy efficient.
32
tstart and PRF
to make M-ary modulation
PFS=1OmW, PB =2mW, PRF =2.24mW 0=1.75, to=25ps
12-
- -
4-PSK
4-FSK
8-PSK
8-FSK
16-PSK
- - 16-FSK
10'
8 .....
8+P.K.S.
8-FSP
-7 - ,-
4
4-PSK
2
......
....
.
100
2
10,
t,(Ps)
Figure 2-5: a vs. ttari, : large PRF
It has also been shown that noncoherent M-FSK for M > 8 out performs M-PSK
in terms of energy savings; the sacrifice, however, is bandwidth. For instance, 8-PSK
uses 4 times as much bandwidth as M-PSK. This problem may be circumvented by
careful planning of the spectrum. In the unlicensed band in the GHz regime, large
bandwidth is available to make M-FSK a realistic option.
2.3
Reducing Transmitter Complexity
The transmitter electronics power, PE, can be lowered by reducing the performance
requirements of critical transmitter components - for example, phase noise requirement of the VCO and frequency offset error of the frequency synthesizer.
The phase noise of the VCO and the frequency offset error of the frequency synthesizer create two concerns. The immediate impact is degradation of performance in
terms of bit error rate. A phase tracking error occurs due to phase noise, frequency
error, and non-ideal frequency response of the phase-locked loop, in addition to I/Q
mismatch created by quantization and gain errors. Figure 2-6 shows the effect of
33
accumulated phase tracking error on the BER of binary PSK. Note that the BER
performance worsens for large phase error.
BPSK BER Degradation Due to Static Carrier Phase Error
10
:
-
-I
0
1.
E b/N
(dB)
..
Figure 2-6: BPSK BER degradation due to static carrier phase error
The second concern, which may be more serious, is that large phase error caused by
phase noise and frequency error can potentially cause the carrier tracking loop to lose
lock. This problem is exacerbated in a fading channel where carrier synchronization
is usually a difficult task.
Dan McMahill has studied the locking performance of
coherent MSK and has shown that in MSK, the modulation index error has to be
kept below 5% to achieve a reasonable RMS phase tracking error if an aggressive
carrier tracking loop bandwidth of approximately 1% of the symbol rate is used
[51.
This is a very stringent restriction. For example, the Digital Enhanced Cordless
Telecommunications (DECT) standard specifies a 10% accuracy in modulation index,
which is not adequate for use with coherent detection.
In light of the above observation, noncoherent detection provides an attractive
alternative since it does not require carrier phase tracking.
Figure 2-7 shows the
effect of frequency error on noncoherent binary FSK. p is the normalized frequency
error and is defined as p = feT, where
f, is
34
the actual frequency error, and T is the
symbol period.
10-1
BER of noncoherent FSK with frequency error
..
. ........... ............. .............
....
.........
.......... ... ......: ......
................
................
......... ....... ............
........... .....................
........
..........
..............
.... ...
......
10-2
.........
....
..
.....
......... ...
.............
.............. .......
.... .......
... ......... ...... .. ......
. ..... ................ .:. ......
. . ...... .. ... .. . ............
. ............... ........ .................
...... ................ ......
p=0.2
......... .. ...
10-3
............
........ ...
...... ......... ..... ......
.-*
..
..
....
......
......
......
. ...... .. ..... . ...... .......
. ...*,*
.......
........... .. ......... .... . .....
......
.......... ..
........... ...
..
........... .. ............ ...... .... ...
............
...... .............
.............. ..
..........
.........
.................. ............- - - ....
...........................
....
........
I.........: ....I .............
.............................: ... ......
. .........
...... ...... ............................
.............
.
.......... .......
.............. .......I ...........
. ............
P=O .l
.......... .............
.............*...................
.............. ................
.....
P= ....
.............. ..............
10.
..........
.... ........ . ..........
... ...
............. ...
....
..... ... ...
.............
...... 11-....
... ........
............. .........
........... ..............
.... ... ...... . .
0
11
12
13
...........
.........
. ......
.........
.........
...........
.... .......
.. . . .....
14
15
..........
..............
............ .
16
17
Eb/NQ (dB)
Figure 2-7: BER of noncoherent FSK with frequency error
As shown in Figures 2-6 and 2-7, performance degradation is not severe even
for moderately large phase and frequency errors. It takes about 2dB of Eb/No to
compensate for a phase error of 400 in PSK or for a frequency error of p = 0.1 in
FSK, which corresponds to a 20% modulation index error for MSK.
This suggests that it is possible to reduce the transmitter energy consumption
by increasing the RF output power to compensate for more relaxed phase noise and
frequency error requirements. Specifically, Equation (2.5) is modified in the following
way,
Em = (1
-
6
)OPFS
t
tstart + (OZPB + (1
-
6 )OPFS
+ (1 + IQT PRF) - ton /r
(2.8)
where 6 is the reduction in the frequency synthesizer power due to relaxed phase noise
and frequency error, and
i
represents the RF output power increase that compensates
35
the BER loss. The overall energy consumption is lowered if
6>
For a
PRF
increase of 2dB (s
(
NYPRFton
/PFS(tstart
-
=
(29
+ ton
58%), Table 2.6 shows energy savings of Equation
(2.8) over Equation (2.5) as a function of 6. Note that the energy savings do not
depend critically on the modulation level M.
1 5%
10% 115%
2-PSK
1.7% 4.8% 7.9%
2-FSK
/
0.42% 3.3%
4-FSK
/
3.0% 6.2%
4-PSK
1.9% 5.1% 8.4%
8-FSK
/
3.1% 6.2%
8-PSK
0.5% 3.6% 6.8%
16-PSK
/
/
2.3%
16-FSK 0.1% 3.4% 6.7%
20%
11%
6.2%
9.3%
12%
9.4%
9.9%
5.4%
9.9%
[25%
14%
9.1%
12%
15%
12%
13%
8.4%
13%
Table 2.6: Energy savings when modulation power is increased to reduce transmitter
complexity
2.4
Reducing RF Output Power
As shown in the last section, the RF output power is a small fraction of the total
power consumption. It may seem that reducing the RF output power will not produce
significant energy savings. However, there are two good reasons why the RF output
power should be minimized. First, as shown previously, reducing the RF power will
increase energy savings when M-ary modulation is employed. For M-PSK and MQAM, RF power increases dramatically for large M. This offsets the energy savings
gained through the reduction in to,.
The second reason is that at very low BER, which is what the wireless microsensor
system requires, the RF output power becomes prohibitive without any coding and
diversity techniques. For instance, in order to achieve an error rate on the order
36
of 10-9, Eb/No must be about 90dB for an uncoded system in a Rayleigh channel,
while only 50dB is required to achieve an error rate of 10- 5 . Thus, coding, diversity,
and retransmission schemes must work together to keep the transmit power at the
mW level. Effective coding, diversity, and retransmission schemes are currently being
investigated.
2.5
Summary
Several useful results are presented in this section. Equation (2.5) is the global energy equation that governs the total transmitter energy dissipation. Equation (2.7)
can be used to determine whether M-ary modulation is more energy efficient than
binary modulation. Analysis shows that M-ary modulation achieves maximum energy savings for large ton/tstrt and small RF output power. In addition, for M > 8,
noncoherent M-FSK is more energy efficient than M-PSK. Equation (2.9) can be used
to determine the effect of trading off higher RF output power for reduced transmitter
complexity. These formulas are simple enough to provide a quick estimate of various
design trade-offs. In addition, It has been shown that coding and diversity techniques
have to be employed in order to keep the error rate at a negligible level (10-).
37
38
Chapter 3
Base Station Design: Architectural
Issues
This section shifts the focus of base station design from the system level to the architectural level. The main concern here is high sensitivity and reconfigurability. High
sensitivity reduces SNR loss as well as distortion, and reconfigurability allows more
design freedom on the system level. The goal is to choose a receiver architecture that
offers the best compromise between hardware complexity and system flexibility. Solutions are proposed for both the RF front-end and the demodulator that follows. We
begin the chapter by examining three architectures that are seen as viable solutions:
direct conversion, single-IF conversion, and dual-IF conversion.
3.1
Direct Conversion Receiver
Direct conversion receiver is the focus of much research interest in recent years [6, 7, 81.
The main advantages for direct conversion receivers are higher level of integration
and lower power dissipation. Although this architecture has existed since the 1920s,
several technical challenges have put severe limitations on its performance at high
RF. These challenges are being solved recently, and direct conversion is enjoying a
revival. It has been the prevalent technology in paging applications. Now it is being
implemented for high performance cellular applications as well.
39
Figure 3-1 shows the architecture of a direct conversion receiver. The RF signal
is down-converted directly to baseband, hence the name direct conversion.
This
eliminates off-chip band-pass ceramic and SAW filters and thus, makes monolithic
integration possible.
LO
Leakage
AMP
A/D
ILPF
--
BAND
----
----------OLOI
-
BASEBAND
output
DEMOD
BPF
AMP
Interferer
Leakage
L
0
RF
LOI
Figure 3-1: Direct conversion receiver and the problem of self-mixing
Low part counts, low power, and high integration make direct conversion receivers
attractive in portable applications. However, a big disadvantage is that they do not
provide the level of performance that super-heterodyne receivers do. This is due to
several draw backs, which are described below.
The most severe problem is due to self-mixing and consequent parasitic DC offset
[9]. As shown in Figure 3-1, self-mixing occurs due to either local oscillator (LO)
leakage or interferer leakage. Since isolation between LO port, input of mixer, and
the LNA is not infinite, leakage occurs through capacitive and substrate coupling
[3]. It is also possible that the LO signal leaks to the antenna, is radiated, and is
then reflected back to create a time-varying self-mixing. Due to the large signal gain
from the antenna to the ADC (typically 80-100dB), the DC offset can potentially
saturate the ADC. In addition, for M-PSK and M-QAM, most of the signal power is
40
concentrated around DC; thus, the signal will be corrupted by the DC offset even if
the ADC does not saturate. DC offset cancelation is a very challenging task. One
technique that mitigates this problem is to encode the signal so that it contains little
energy at DC. FSK is a popular modulation scheme for direct conversion receivers
because its spectrum contains relatively little DC power [8].
Several other drawbacks of direct conversion receivers are rejection of out-ofchannel interferer, I/Q mismatch, even-order distortion, and flicker noise.
In di-
rect conversion receivers, active low-pass filters are used in place of passive filters to
provide better integration. However, since active filters exhibit much more severe
noise-linearity-power trade-offs than their passive counterparts, rejection of out-ofchannel interferer is more difficult. I/Q mismatch is caused by errors in the 90' phase
shifter and any mismatches between the amplitudes of the I and
Q signals.
Since I/Q
separation is done at the RF frequency, the signals are very sensitive to mismatches
in the parasitics. This results in a distorted signal constellation and hence a higher
error rate.
Distorted
Ideal
o
0.-oA
Figure 3-2: Constellation due to phase and gain error
Even-order distortion and flicker noise are two more problems caused by circuitry
non-idealities. The combined effect of all the drawbacks mentioned above makes it
difficult for direct conversion receivers to achieve the kind of high-level performance
heterodyne receivers have to offer.
41
3.2
Single-IF Conversion
Most receivers today employ the heterodyne architecture that translates the RF signal
first to an intermediate frequency (IF) and then down-converts it to baseband. This
reduces or avoids all of the disadvantages associated with the direct conversion receiver
and thus improves system performance significantly. Two variations are commonly
employed in today's transceivers. The first is single-IF conversion, and the second is
dual-IF conversion.
As shown in Figure 3-3, the single-IF conversion receiver converts the desired signal
from RF to IF through local oscillator LO1. Assuming the RF signal is a(t)-coS(wRFt),
the signal appearing after the mixer is
=a(t)
a(t) - coS(wRFt) - cOS(WLolt)
(tCOS(wRF -
LO1)t
+ cOS(WRF + WLO1)t
Thus, the baseband signal a(t) is frequency shifted to WIF
WRF - WLO1
(3.1)
and WRF
+
WLO1. A bandpass filter selects only the signal at IF, which is then down-converted
to baseband through the I/Q separation approach employed in a direct conversion
receiver.
BAND
LNA
SELECT
IMAGE
CHANNEL
REJECT
SELECT
XW
BPF
BPF
BPF
AMP
duato r
demodulator
L1,0
0
(01F
(0M
CLOlIORF
Figure 3-3: Single-IF conversion receiver
The major design issue associated with this architecture is the trade-off between
42
image rejection and channel selectivity. Assuming that a signal is situated at WIM
WLOI -
WIF before the mixer, the mixer translates this signal to
(3.2)
b(t) - COS(WIMt) - cos(wLt)
b(t)
-
2
[COS(WIM
WLO1)t
-
+
COS(WIM
(3.3)
+ WLO1)t]
b(t )
-
2
[COS(WIFt)
+
COS(WIM
+
(3.4)
WLO1)
Thus, b(t) appears at the IF frequency as well. For this reason, the band at WIM is
called the image of the RF signal. The image appears as interference to the desired
signal and has to be reduced sufficiently through an image-reject filter.
To understand the trade-off between image rejection and channel selectivity, consider Figure 3-4 [9]. Clearly, image rejection improves as IF increases, since the image
moves further away from the signal. However, the downside of high IF, or better image rejection, is reduced channel selectivity, since a high IF results in a much higher
Q requirement
on the channel select filter. The upper part of Figure 3-4 shows the
high IF scenario, where the image is well rejected but the nearby interferer is not
due to limited
Q. The
lower part of the figure shows the scenario for low IF, where
the image is not adequately rejected, but the interferer is since channel selectivity is
better. This conflict can be mitigated by adding an additional mixing stage.
3.3
Dual-IF Conversion
In dual-IF conversion receiver, a second mixer is added to down-convert the signal to
a second IF. The first IF is high enough to provide good image rejection and improve
the noise figure. A channel selection filter with modest
the first IF to provide a partial channel selection.
Q requirement
is placed at
The first IF is then converted
to a low second IF, where precise channel selection can be achieved. Although the
image problem also exists for the second IF, the frequency is low enough that the
channel selection filter provides adequate rejection. Since the filters at each stage
suppresses adjacent channel interference to some extent, the linearity requirement of
43
Desired Channel , - -
Image Reject
Filter
Image
Channel Select
Filter
Interferer
+
IF
0
2 IF
+
mi
L
SIF
Figure 3-4: Image rejection vs. channel selectivity
the following stages is relaxed proportionally [3].
BAND
SELECT
LNA
IMAGE
CHANNEL
CHANNEL
REJECT
SELECT
SELECT
BPF
BPFBPF
XBPF
OLOI
0
IF1
IM2
LO2
AMP
eto IFto
CL02
IFI
IMI
LOI
RF
Figure 3-5: Dual-IF conversion receiver
Since dual-IF provides the best sensitivity and selectivity trade-off, most RF receivers today employ this topology. However, the extra mixers and filters make dualIF a low-integration and high-power-consumption approach. The SAW and ceramic
filters used at IF are bulky, expensive, and can not be integrated into the silicon process. These drawbacks make RF designers seek alternatives for low power and high
integration solutions.
44
3.4
Digitizing the IF
As digital signal processing technology continues to improve, more and more tasks
that were performed in the analog domain have been transfered into the digital domain. There has been considerable research in digitizing the IF for radio receivers.
This ranges from the ASIC based approach [10, 11] to the more audacious generalpurpose-processor (i.e., a workstation) approach [12].
Digital-IF affords greater flexibility and higher performance in terms of attenuation and selectivity. For example, digital filters are not only less sensitive to component variation, but they are also more size and power efficient in applications requiring
extremely linear phase, very high stop band attenuation, or very low pass band ripple
[13].
More importantly, digital implementation enables software control that can support multiple modulation waveforms and multiple air interface standards on the same
hardware platform. This is the idea behind software radio, which offers great flexibility and reconfigurability in terms of implementation.
Figure 3-6 shows a proposed architecture that is a good candidate for wireless
microsensor systems. The RF front-end employs a dual-IF architecture to provide the
best performance. It converts the band of interest to an IF at a few hundred MHz.
This band is digitized by the wideband ADC, and then down-converted to baseband
through a digital down-converter. Channel selection is performed at baseband, where
the processing requirement is much less, and the signal is then demodulated. As
mentioned before, a digital demodulator offers flexibility and is very conducive to the
study of various demodulation and air-interface standards. In addition, it mitigates
the sensitivity and selectivity trade-off since channel filtering can be made much
more precise in the digital domain. However, digitization at the hundred MHz regime
imposes serious technical challenges. The following shows why this is the case.
An ideal software radio would perform digitization directly at RF and implement
all receiver functions in the digital domain to maximize reconfigurability. However,
this is not feasible with today's technology due to limitations on ADC dynamic range
45
Digital Demodulator
IF
owideband RF Front-End
Wideband
Digital
wNdebOnd
CDe
Channel
demnod/
output
Selection
decode
data
Figure 3-6: Digitization at the IF frequency
and DSP processing speed. For this reason, down-conversion to an IF is a necessary
step.
The bottle-neck in digital radio is the Analog-to-Digital Converter. For instance,
an IF frequency of 100MHz would require a sampling rate of 200MHz with a typical
dynamic range around 80dB, or equivalently, 14 bits. A record breaking design at this
year's International Solid-State Circuits Conference (ISSCC) reports a 14-bit (SFDR)
and 100-Msamples/s bipolar ADC [14]. Thus, digitizing at hundreds of MHz is still
a daunting task.
In addition, the ADC power is prohibitively high. At 100MHz
input bandwidth and 12-14 bits, the power dissipation is on the order of a few watts
[15], which limits digital-IF topology to base station applications. An active research
involved with the microsensor project is a high-sampling ADC that can potentially
place the IF at 300MHz, thereby pushing the IF further up toward the antenna.
The digital demodulator that follows the ADC is less of a bottle-neck due to
tremendous improvement of ASIC, FPGA, and DSP technologies. In ASIC, transistor
gate length has been reduced to .18p, and supply voltage has been lowered to IV [16].
In FPGA, the Xilinx 40250XV contains 250,000 gates [17]. In DSP, speeds above
3GOPS (Giga-operations per second) begin to appear in the commercial market [18].
Therefore, although the digital-IF demodulator may have high power consumption,
it is realizable with today's technology.
The trade-off between ASIC, FPGA, and DSP is flexibility versus speed. Although
it is desirable to implement all functionalities on a DSP chip to achieve maximum
flexibility, the DSP chip is still too slow to carry out the entire demodulator operation.
It is estimated that the processing power required to implement a 3G handset is about
46
4GOPS [16], which is still out of reach. Thus, the more computational operations
are left for ASIC or FPGA. Table 3.1 shows the common division between DSP and
ASIC/FPGA tasks in software radio [19].
Software Radio Operations Suitable For
ASIC/FPGA
DSP
matched filtering
frame timing
correlators
amplitude estimation
carrier phase recovery
convolver & FFT
symbol timing recovery Viterbi decoding
Table 3.1: DSP and ASIC/FPGA task allocation chart
3.5
Summary
This chapter provides an overview of three popular receiver architectures and compares their performance. A receiver that is suitable for wireless sensor systems is
proposed. This receiver employs a dual-IF front-end architecture, which provides the
best sensitivity and selectivity trade-off. The second IF signal is digitized and demodulation is performed in the digital domain to provide the highest reconfigurability.
Algorithms for the digital-IF demodulator are currently being designed.
47
00
Chapter 4
Detection in White Gaussian Noise
Channel
Modern communication systems use digital modulation techniques, which have many
advantages over their analog counter-parts [20]. Some of these advantages include
increased channel capacity, greater noise immunity, and robustness against channel
impairment.
In addition, the rapid advancement in VLSI and DSP technologies
enables cost effective implementation of various signal processing techniques, such as
source coding, error-correction coding, and channel equalization. These techniques,
unique to the digital domain, greatly enhance system performance.
Furthermore,
much of the digital modulation and demodulation processes can be implemented in
software or programmable hardware, which increases system reconfigurability and
reduces design time.
In a digital communications system, a finite number of predefined waveforms, or
symbols, each of which represents one or more bits, are sent at the transmitter side.
The receiver receives distorted versions of these waveforms and attempts to demodulate them into the symbols they represent. The objective is to recover the transmitted
symbols with an acceptable error rate under a constraint on the transmitted energy.
This chapter focuses on the detection of digital signals in the additive white Gaussian noise (AWGN) channel. The AWGN channel is the simplest channel model and
has been well studied in classic literatures [21, 22]. This chapter only gives a quick
49
overview of detection theory. The emphasis is put on the performance comparison of four digital modulation schemes considered for this project: On-Off Keying
(OOK), Phase Shift Keying (PSK), Quadrature Amplitude Modulation (QAM), and
Frequency Shift Keying (FSK). These modulation schemes are traded off in terms of
their power efficiency, bandwidth efficiency, and implementation complexity.
4.1
AWGN Channel
Figure 4-1 presents an over-simplified block diagram of a digital communications
system.
The discrete symbols {ak} are converted to a continuous-time waveform
s(t) by the modulator block. The signal s(t) goes through the channel block, which
represents the added noise and channel impairments that distort the transmitted
signal. The demodulator block deciphers the received signal r(t) into output symbols
{ek} that approximate the input sequence.
[ak}
s(t)
N-
MODULATOR
r(t)
W
CHANNEL
{a]}
DEMODULATOR
Figure 4-1: Simplified model of a digital communications system
Due to the difficulty in modeling the various distortions that affect the input
signal, the channel block can be quite complicated. A channel filter is usually required
since the channel response is often non-flat. For example, telephone lines only have
significant spectrum between DC and 4kHz. The problem is exacerbated if the channel
is time variant, which is the case in wireless communications since the environment
changes with respect to both space and time. The discussion of these models is
delayed to Chapter 5, and here we focus only on the AWGN channel.
Figure 4-2 illustrates the concept of the AWGN channel.
In this model, the
channel response is assumed to be flat, i.e., no distortion, and the only noise present
is the thermal noise n(t) generated by the receiver front-end electronics. In many
applications, such as deep space communications, where thermal noise is the dominate
50
source of noise, the AWGN channel model is extremely accurate.
CHANNEL
r(t)
s(t)
n(t)
Figure 4-2: The Additive White Gaussian Noise (AWGN) channel
The thermal noise has a flat power spectrum density (PSD) up to 100GHz, as
shown in Figure 4-3. Its one-sided PSD, No, is defined as the noise power transfered
into a matched load per hertz, and is given by:
No = kT
(4.1)
where k is the Boltzmann's constant and T is the absolute temperature in Kelvin. At
a noise temperature of 300 K, which is typical for receivers in the GHz range, NO is
approximately equal to -204dBW/Hz.
Rnn(t)= No/2 *f()
Snn(f) = No/2
A
Figure 4-3: Autocorrelation function and power spectrum density of white noise
51
4.2
4.2.1
Optimal Detection Theory
Matched Filter Receiver
As shown in Figure 4-2, the received signal r(t) is expressed as
r(t) = s(t) + n(t)
(4.2)
The demodulator block of Figure 4-1 can be represented as a linear filter followed
by a sampler as shown in Figure 4-4. The reasons for the choice of the linear filter
will be justified later in this section. The sampled output, y(T), can be decomposed
into a signal component and a noise component as follows [21]
T
y(T)
=
T
s()h(T-
)dT +
n()h(T -T)dT
yS(T) + yn(T)
(4.3)
(4.4)
r(t)
yWt
h(t)
y (T)
T
Figure 4-4: Representing the demodulator block as a linear filter followed by a sampler
The problem now is to select a filter, h(t), that maximizes the output signal-tonoise ratio (SNRo), which is defined as
SNRo
-
Y(T)
E[y2 (T)]
(4.5)
Applying Equation (4.4), the above expression yields
SN R 0
=
[ff'
h(T)s(T - T)dT( 2
2
2No ff h (T - t)dt
(4.6)
The integral in the numerator can be interpreted as projecting s(T - t) onto h(t).
By the Projection Theorem, the projection is maximized when h(t) is in the direction
52
of s(T - t), i.e., h(t)
=
Cs(T - t) where C is an arbitrary constant [23]. In this case,
we say that h(t) is matched to s(t), and h(t) is called a matched filter.
A simple and intuitive explanation is illustrated in Figure 4-5. Since the objective
is to maximize the numerator of Equation (4.6), which represents the signal energy,
the best h(T) is equal to s(T -
f h(r)s(T -
Any other h(T) will not maximize the integral
T).
T)dT.
s(T- T)
h, (t)
h2 (t)
h3 (t)
Figure 4-5: To maximize f h(T)s(T - T)dT, h(t) should be set to hi(t) = s(T -
T).
The matched filter is a fundamental concept in detection theory. Its underlying
principle can be explained by the Theorem of Irrelevance, which states that if the
transmitted signal s(t) lies in a signal space W, then projecting the received signal
r(t) onto W does not affect optimal detection of s(t) [23]. Thus, a linear filter is
suffice to achieve optimality.
4.2.2
Correlator Receiver
Another type of receiver that achieves optimal detection is called a correlatorreceiver.
Through a change of variables, the numerator of Equation (4.6) can be rewritten as
h(T -
[
Substituting h(t)
=
T)S(T)dF]
2
(4.7)
s(T - t) into the above equation yields
[f
T h(r)s(r)dr]2
53
(4.8)
Based on this equation, we can build a receiver as in Figure 4-6. Note that even
though this receiver does exactly the same operation as the matched filter receiver,
it is non-linear due to the multiplier. The combined operation of integration and
sampling is called integrate and dump.
r(t)
N
y(T)
Integrate
T
s(t)
Figure 4-6: A correlator receiver
4.2.3
Maximum Likelihood Receiver
Given that the input comes from a set of pre-defined waveforms {Sk(t), 0 < k <
M - 1}, the optimal receivers are shown in Figures 4-7 and 4-8. The received signal,
r(t), is matched (or correlated) to each of the possible input waveform sk(t), and the
branch that produces the highest SNRO is chosen as the most likely input. This type
of receiver is called a maximum likelihood detector.
s1 (T-t)
T
r(t)
CHOOSE
s2 (T-t)
T
MAXIMUM
s m-(T-t)
T
Figure 4-7: Maximum likelihood matched filter receiver
54
sj (t)
-InteH
T
s2 (t)
CHOOSE
r(t)
iT
MAXIMUM
sM-1 (t)
IntrateH'
T
Figure 4-8: Maximum likelihood correlator receiver
4.3
Performance of the Optimal Receiver
On the system level, the performance of a communications system is determined by
the type of modulation scheme used. Modulation is defined as the process of mapping
a finite number of symbols {ak} into a set of corresponding analog waveforms {Sk(t)}
[21]. This function is carried out by the modulator block shown in Figure 4-1.
There are three major criteria in evaluating a modulation scheme: probability of
error, power efficiency, and bandwidth efficiency. These criteria are usually conflicting
objectives.
Probability of Error
Most classic communications textbooks treat the probability of error calculation separately for each modulation scheme. Forney is able to generalize the calculation for
arbitrary memoryless modulation schemes [23]. Memoryless modulation is the process
of modulating each symbol independently of the previous symbols.
Assuming there are two equally likely symbols, ao and a,, and the corresponding
modulated waveforms are so(t) and s1 (t), the probability of a symbol error is
Ps (E) = Q dmi (SO s1)
2a-
55
(4.9)
where the function Q(x) is the tail probability of a normal Gaussian distribution,
Q(x) =
j
v/27 X
et/2 dt
(4.10)
dmin(so, si) is the minimum distance between so(t) and si(t) in the inner product
space
dmin(sos 1 )
=
|s 0
-
s1|| 2
(so(t)
=
-
s1(t)) 2 dt
(4.11)
and ao2 is the noise variance per dimension, which is equal to No/2.
For M-ary modulations, there are M input symbols {ak : ao,.., am-_}, which
are mapped into M waveforms {Sk(t) : s1(t),
.. ,
sM-1(t)}. The probability of error
is a function of dmin, which depends only on the inner products within {Sk(t)}. To
generalize this result, it is necessary to introduce the concept of signal constellation. A
signal constellation is a representation of the waveforms {Sk(t)} by a set of Euclidean
space vectors, {Sk}, that preserve all inner products in {Sk(t)}. The advantage of
using signal constellations is that they are more visually intuitive and appealing. For
example, consider the set of binary antipodal signals, so(t) and si(t),
so(t)
=
s 1 (t) = -
2
bCos(wt),
SO
cos(wt),
0 < t < T
M
T(4.12)
0< t < T
This pair of waveforms have the Gram matrix
G
[< si(t), sj (t) >]
Eb
-Eb
-Eb
Eb
J
The signal constellation for the above waveforms is [(-A, 0)T, (A,
Eb.
(4.13)
O)T],
where A
=
This pair of 2-D vectors have the same Gram matrix.
Given a signal constellation, {S : sk}, for a particular modulation scheme, and
assuming that so is sent, the probability of error can be readily computed using the
Union Bound Estimate [23]. The result is given below
56
dmn
(-A, 0)
(A, 0)
Figure 4-9: Signal constellation of binary antipodal signaling
Q (d(so, s')
E
Ps(Elso) <
sIG'ESs':so
~Kmin (SO)Q (dmin(S)
(.4
2a2a
The above formula states that the probability of error, given so is sent, is less than
or equal to the sum of the error probabilities for all pairs {(so, s'), s' C S, s' : So}.
Furthermore, due to the exponential decrease of Q(x) (i.e., Q(x)
e-X2 /2),
the only
significant terms in the equation are those for whom the d(so, s') is the minimum,
i.e., d(so, s') = dmin(S). These are called the nearest neighbors of so, and Kmin(so)
is the number of these nearest neighbors of so. This idea is best illustrated in Figure
4-10. There are 9 signal constellation points, but because so has only 4 nearest
neighbors, they are the only ones that need to be considered in the probability of
error calculation. Therefore,
P,(EIso) ~ 4Q (dmin)
(4.15)
S2o-
Note that an error occurs if the noise pushes so out of the square box, which is called
the decision region for so.
The average probability of error, per symbol, is then computed as the expectation
of the above expression:
Ps(E) = E[Pr(EIS)] ~ KminQ (d"n
(2a-
(4.16)
where Kmin = E[Kmin(s)] is the average number of nearest neighbors of all signal
points in the constellation. This equation is extremely accurate when the next nearest
neighbors are far away, which is valid in most cases when the number of constellation
57
II
dmin
0
,
Figure 4-10: Error probability calculation based on nearest neighbors
points is small.
Bandwidth Efficiency
In practice, all modulated signals are bandlimited by a pulse shaping filter before they
are transmitted. The reason for doing this is to conserve bandwidth, which has become increasingly precious due to the explosive growth in RF applications. Thus, the
bandwidth efficiency, which is defined as the bit rate per occupied bandwidth, plays
an important role in RF system design, particularly in high data rate applications.
What dictates the bandwidth requirement is the Nyquist criterion. Consider sending a set of symbols {ak} through a shaping filter p(t) at a rate of 1/T symbols per
second. The output of the filter is
y(t)
=
Z akp(t
-
kT)
(4.17)
k
The input symbols can be recovered error-free by sampling y(t) at kT intervals provided that p(O) = 1 and p(kT) = 0, k z 0. The Sinc function satisfies this requirement, as shown in Figure 4-11. Note that the zero crossings line up at the sampling
instant, which means there is no intersymbol interference (ISI).
58
Pulse Shaping Using Sinc Function
3
-y
2.5-
-.
-.
-. -. -.
1 .5 -
-...
a p(
. -. .
ap+3T)
a
a
2
.- -.
.-.
-.-
..
2T
-1.5
I
.
/
11
.5-
--
0p
a1
-2
Time (normalized to a/T)
Figure 4-11: Using Sine function to perform pulse shaping
The frequency response of the Sine function described above is an ideal brick-wall
else. This is
~- T)~+ 1/2T] and is equal to- zero-. everywhere
filter that is flat between [-1/2T,
2T
2a
'N
2T
2tT
t 3
-
-
2
the minimum bandwidth necessary to achieve ISI-free detection. For this reason, it
is called the Nyquist bandwidth.
A popular family of Nyquist shaping filters is the raised-cosine filter, which is
defined as follows,
0
T,
Hrcos (f)
Tcs
CO2,(If
I
- 1--)),
<
f
<
If-a <f
1\a
I<
ljf,
(4.18)
If I > 1+0
2T
where a is called the roll-off factor, which specifies the fraction of extra bandwidth
occupied outside the Nyquist bandwidth. When a = 0, the raised-cosine filter degenerates to the ideal brick-wall filter. The spectra of raised-cosine filters with various a
are illustrated in Figure 4-12.
bandwidth necessryto aikno
Once themin
-,ee deteti efficiency, BiW, can
be readily calculated. However, the Nyquist bandwidth is often used for simplicity.
59
Raised-Cosine Filter with Various Roll-off
1
0.9
. ..
. .. . ..
...
. . . .
. . . .. . .
.I.
0.8
-....-.
-- --
.- .
0.7
. - .
----
--
......
II t
.
--
..
--
-
-
-
-
--
--.......
--
-
1.
0.6
- -
---
E 0.5
-
E
-----
-
-
0.3
.----.
-- - -
-
-
--
-
-
--
- --
- - --
-
.-
-I
. .. . .
.
-
--
- -
.
-
I ---
- ---
0.2
--
. ..
0.4
-
--
.-
.
-
-
-
-
-It
----
--
-
.I
-
-
--
-
W.
--
-
.. . . .. .
.
4
-I
-
-
-.--
-
-
-
I - -
-
-
0.1
0
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
frequency (Hz)
0.4
0.6
0.8
1
x 10,
Figure 4-12: Spectra of raised-cosine filter with various roll-off factor
Bandwidth efficiency of various modulation schemes is provided in the next section.
Power Efficiency
In addition to the probability of error and bandwidth efficiency, another major design
criterion is power efficiency, which is defined as the SNR per bit, Eb/No, required to
achieve a certain bit error rate. Power efficiency is tightly coupled to probability of
error and bandwidth efficiency. As will be shown, the probability of error is a function
of Eb/No only.
4.4
Sub-optimal Detection
Matched filter and correlator receivers require exact phase synchronization at the
carrier frequency. Consider a passband input signal, r(t), written as the following,
r (t)
= s(t)ewct
60
(4.19)
where s(t) is the complex baseband signal, and ejwct is the carrier frequency (i.e.,
both I and
Q carriers).
In order to recover s(t), an exact copy of the carrier signal, el.ct, needs to be
produced at the receiver. Since the receiver does not know the exact phase of the
transmitted carrier, it must be able to track the received carrier.
This is called
carriersynchronization, or carrierrecovery, which requires the use of a phase-locked
loop (PLL).
Due to the limited bandwidth and the non-idealities of a PLL, carrier tracking can
be difficult in an environment where the phase of the received carrier varies rapidly.
For instance, in a fading channel where a random phase is introduced by multipath
fading, the carrier recovery loop must be fast enough to track this phase error. In
addition, phase and frequency errors at the transmitter frequency synthesizer cause
instability in the carrier phase, which can potentially cause the carrier recovery loop
to false-lock. Due to these problems, sub-optimal detection techniques are often used
in practice to avoid carrier synchronization. These techniques belong to a general
category called noncoherent detection.
s, (t)e- j((Ot+O)
j.
Integrate
s2 (t)e-
j(0
O
T
+0)
CHOOSE
r(t) = sk(t)ejec
Integrate
-
-
.
T
MAXIMUM
Integrate
.
2N
T
Figure 4-13: M-ary noncoherent receiver
Figure 4-13 shows a generalized M-ary noncoherent receiver. The received signal
first goes through either a correlator or a matched filter. Since the receiver carrier
is not synchronized to the transmitted carrier, a phase error ejo is produced. The
61
correlator output goes through a complex magnitude block which eliminates the phase
error. However, any phase information in the input signal
sk(t)
is also lost. This
means that any modulation scheme that relies on carrying information in the phase
component, such as PSK or QAM, can not be noncoherently detected. In addition, the
performance of noncoherent detection will not be as good as coherent detection since
the phase information in the input signal is ignored in the detection process (i.e.,
noncoherent receiver only does partial detection).
Performance for noncoherently
detected OOK and FSK signals are discussed in the next section.
4.5
Classes of Modulation
This section examines several general classes of modulation and shows the trade-offs
among them. Practical implementation issues are discussed for each architecture.
4.5.1
On-Off Keying
On-off keying (OOK) is the simplest binary modulation system. Its signal waveforms
are of the form
so(t) =
bcos(wt),
80
0 < t<T
(t)
T(4.20)
0 < t< T
s1 (t) =0
Coherent Detection
Figure 4-14 shows the signal constellation for OOK. In order for the average bit
energy to be Eb, the two constellation points need to be (0,0), and (Vx/A, 0), where
A
=
Eb.
Applying Equation (4.16), the bit error rate is
Pb (E)
=_Q
d
2
62
=_
(4.21)
dmin
(0, 0)
(2A, 0)
Figure 4-14: Signal constellation of on-off keying
Noncoherent Detection
Noncoherent detection of OOK can be performed through an envelope detector as
shown in Figure 4-15.
cos(coet+ )
(
No Integrate
Acos(wc)o
t nc
T
+)±
sin~oct 0
+
DECISION
T 0___MCIRCUrT
Figure 4-15: OOK noncoherent detection
The output of the above circuit is proportional to A2 . Adding white noise, the
amplitude of the received signal is Rayleigh distributed if a zero is sent and Rician
distributed if a one is sent. Thus, the error probability is the tail probability of these
two distributions. Integration yields
Pe =e--N
2
(4.22)
Despite its simplicity, OOK is rarely used in modern communications systems.
The amplitude of a signal is typically corrupted more severely than either the frequency or the phase by man-made noise and by multipath fading effect. For this
reason, most communication systems today rely on PSK, QAM, or FSK.
63
4.5.2
Phase Shift Keying
Phase shift keying is one of the most popular modulation schemes used in modern
communication systems. Its signal waveform is given by
S
Sk (t)
=
27
[W +
O ~hk M k] ,1 5k<M - 1
rt)2Eb
T s
(4.23)
where M is the number of input symbols. The signal constellations for 2-PSK (BPSK),
4-PSK (QPSK), and 8-PSK are shown in Figure 4-16.
-. 4
S
st
dmin
dmin
(-A,
0)
dmin
-4----
(A, 0)
0
S
--- 4
Figure 4-16: Signal constellations of BPSK, QPSK, and 8-PSK
The probability of error computation is straight forward. Except when M=2, each
symbol in a PSK constellation has 2 nearest neighbors, so Kmin
=
2. The minimum
distance, dmin, is related to the angle 0 as follows
dmin
=
2Asin
(
-2
Esin (7
(4.24)
where E, is the energy per symbol. Eb is related to E, as
Eb -
ES
Es
F2
- T
log2 M
r
(4.25)
where r = log2 M is the number of bits per symbol.
Again applying Equation (4.16), the symbol error rate is
Ps (E)
2Q(
sin
(4.26)
Using Gray Codes [21], each symbol and its nearest neighbors differ only in 1
64
bit. In this case, a symbol error is the same as 1 bit error out of r bits. Thus, the
probability of error per bit is
M=2
M =2
VfO 2Eb
{ 2Q(r
P( E)
2r
VNo
)
(4.27)
In the case of BPSK (M = 2), the equation is different because there is only one
nearest neighbor. In the case of QPSK (M = 4), the bit error rate is simplified to
)
=Q /2Eb
Pb(E)
(4.28)
which is the same as in the BPSK case. Thus, QPSK is typically preferred over BPSK
because it has the same power efficiency as BPSK but twice the bandwidth efficiency.
BER curves for 2, 4, 8 and 16-PSK are shown in Figure 4-17.
100
...............................
.......
.......... .......
. . .. .. . ; . . .. . . .. . .. . .. . .. . .. . .. . .. . .
.......................... .......
..........................
................ .......... ...
. . .. . .. .. . ..
. .. . ..
.............. ...
.. . .. . .. .
............
. .. . . .. . ....
. . . ..
.. ................
..
........
.......
.
.
. .. ..
. . .. . .. . . .. . .
........................................ ..............
102
.......
............
............
...........
.........
..
.......
......
.. ....
................
...
................
.
..........
. .. .. . . .. . .. .. . .. . I . .. . . ..
...............
103
10'
10
. .. . . .. . .. . .
. . .. .. . . .. .
. .. . .. . .. . .. . .. . . ..
......................
......
. .. ....
.. . . ..
........... ...... ......
.
.............
............
....
............
...
.....
. . .* . ..
..........
.......
.. . . .:. .
. .. . ..
...
...
. . .. ..
........... .........
. .............. ...
V
&
16-PSK
8-PSK
........
Q....
R$...
K ..
............
.........
...
......
.................. ..
........ ...
..
........
.... .......
.............
... ........
..............
... ...........
........
...
................
............
...............................
..............................
..
..........
..................
.. ............ ..................
....
.. ......
............................
..........
......
.. .... ..
..........
..............
..
..
....
......
.: ...............
I...
....
.......
....
.......
..... .......I ...........
...................
...............
...........
......... ..............
..............
........ .......... .................
........
.......\ ..........
-1 0
-5
0
5
Eb/N, (db)
10
15
20
Figure 4-17: BER curves for M-PSK
A general M-PSK modulator is shown in Figure 4-18. This is called a Quadrature
modulator because it is able to generate any constellation point in the I/Q plane.
The corresponding demodulator is shown in Figure 4-19 [20].
PSK is a bandwidth efficient modulation scheme because the bandwidth required
65
D/A -
LPF
LO-
Data
Q
Encoder
+
BPF
Figure 4-18: M-PSK Quadrature modulator
Q LPF
BPF--+Carrier _
Recovery 9.
Timing
Recovery
Q
Dataer
Deor
LPF|
Figure 4-19: M-PSK Quadrature demodulator
does not increase with M. Assuming an ideal brick-wall shaping filter, the bandwidth
is 1/T. Since the symbol rate is also 1/T, the bandwidth efficiency is
R/W - symbol rate
bits
bandwidth symbol
(4.29)
Therefore, the bandwidth efficiency can be improved by using higher level modulation.
Bandwidth efficiency of M-PSK is listed in Table 4.1.
The cost of improving bandwidth efficiency is a reduction in power efficiency. As
shown in Figure 4-17, for higher level PSK modulation, it takes larger Eb/No to
achieve the same BER. In fact, as the bandwidth efficiency improves linearly, Eb/No
rises exponentially to produce impractical transmit power requirement as M becomes
large.
66
Raised-Cosine filter
M
a=01 a=.31z=.5 a=I
1
1
.77
.67
.5
2
2
1.54
1.33
1
3
3
2.31
2
1.5
4
4
3.08
2.67
2
Table 4.1: Bandwidth efficiency of M-PSK signaling with raised-cosine shaping filter
4.5.3
Quadrature Amplitude Modulation
Quadrature Amplitude Modulation is currently one of the most bandwidth-efficient
modulation schemes used in practice. It relies on the same Quadrature modulator
and demodulator structures shown in Figures 4-18 and 4-19, except that information
is encoded in both phase and amplitude, as illustrated in Figure 4-20. Since QAM
constellations use space more efficiently than PSK, they require less power to achieve
the same BER. Thus for M > 16, QAM is usually used in place of PSK. The problem
with QAM is that automatic gain control must always be employed to reduce I/Q
mismatch. This can be difficult if the signal amplitude fluctuates due to channel
impairments.
*
*
*
S
S
S
*
0
0
0
*
0
0
0
0
0
0
*0000000
00000000
0
*
0
00000@SO
Figure 4-20: M-QAM Constellation for M
=
4, 16, 64
The probability of error for QAM is [21]
4
r
3rE
Pb(E) ~ -Q
(M - 1)N 0 J
67
(4.30)
4.5.4
Frequency Shift Keying
Frequency Shift Keying is a type of nonlinear modulation for which the output signal
does not scale with the input signal in a linear fashion. The signal waveforms of
binary FSK are given by
so(t) =
s1(t) =
0<)t]t < T
cos[(W+ 27r
2
TE
cos[(c -27r L)t], 0
(4.31)
t< T
where Af is the separation between the two input signals. For M-FSK, additional
signals are added at Af apart.
Orthogonal FSK
The performance of FSK depends on the correlation among the signals si(t). Figure
4-21 shows the correlation between two sinusoids separated by Af. The normalized
separation, m = AfT, where T is the symbol period, is called the modulation index.
FSK signals used in practice are almost always orthogonal, which occurs at Af =
i/2T, where i is an integer. In this case, the bit error rate is given by
PF(E) =_M Q
2
No
(4.32)
One distinct difference between FSK and PSK/QAM is that FSK requires much
less power than PSK/QAM to achieve the same bit error rate at large M. In PSK and
QAM, if more constellation points are added with the requirement that dmin stays
the same (to keep the same bit error rate), the constellation must be expanded in the
radial direction. PSK must use a larger circle, and QAM must add the additional constellation points outside of the existing ones. Either way, the average symbol energy
is increased. The average symbol energy for FSK, on the other hand, stays constant
regardless of M. This is because dmin in FSK does not depend on the amplitude, but
rather, it depends only on the frequency separation. For this reason, Eb/NO actually
decreases for large M, as shown in Figure 4-22.
The cost in the improved power efficiency is bandwidth efficiency. Since each
68
Correlation vs. AfT for two Sinusoids
I
0 .8
-
- -
-
-- --
- -
0.6 --
0.4 -- -
:
0
.
-
--
--
-
-
-
-
-
---
-
-
-
-
-
-04
0
0.5
1
1.5
2
2.5
Af1r
3.5
3
4
4.5
5
Figure 4-21: Correlation between two Sinusoids separated by
/f
additional signal must occupy a frequency separation of Af, the bandwidth efficiency
for FSK is
r/T
M-Af
_
log 2 M
M-m
(433)
where m is, again, the modulation index.
A popular shaping filter used in FSK is the Gaussian filter, for which the frequency
domain response is
HG (f) = exp [l
2
()](4-34)
B)
where B is the 3dB bandwidth. Often, the Gaussian filter is specified in terms of the
product BT, where T is the symbol period. The Gaussian filter has a very smooth
time-domain response with no zero crossings. Although it is not a Nyquist filter, the
Gaussian filter offers performance within 1dB of optimal detection.
Minimum Shift Keying
Minimum Shift Keying (MSK) is a special case of binary FSK where Af = 1/2T,
which is the minimum frequency separation required to produce two orthogonal sig69
M-PSK Bit Error Rate Plot
1_1
11
[ -2
-4
-8
1T I
...............
......
. .. . . .. .6
.... ...... ......... ......
........
...... . .... . ......
........
................. ......
...... ... .........
...................
......
...
........ ............ ..
...............
1o02
..........
2 FSK
.....
..........
.... .... ....
p
.........
..........
. ..... ... ... ................
.
.............
... .... .... .. .. ............. .........
..... ..... . .......
.........
............. ...... .....
..... ............. .. ............. . ... ............
.........
.......
10-3
4-FSK
....
..... ..
. . ..
.. .
..
..
..
..
. .. . .. . .. ...... .
. . .. ..
..
. ..
. . . . .. . . .. . .
. . .. . .. .. . . . .. . .. .. . .. . .. .. ..
..
... . .. . .. .
. . .. .. . .. . .
...........
. .. . . .. . . .. . .. .. . . .. . .. . .. .. . .. . .. . . .. .
..... . . .. . .. . . . . . .. . . .. . .
............
.....
.......
............
...........
. . . .. . ...
. .. . .
.. . .. . .. . ..
. . .. . . .. . .. . .
. .. . . .. . . ..
. . .. . .. . .
. .. . . .. . .. . .. .. . .. .. . . .. . . . ..
...... .........
. . .. . . .. . .. ...... . . .. . .
10-4
...........
.........
.
10-
........ ...... ......
...........
. ............ . .....
2
...
. .. . .. . .
. . .. . .. . .. . . .. . .. .
. . . . ..
i-.16- IFS K .,
. . ...... . ........ ..... :....... .. ... . ....... .
........ ...
.. ........
....... .....
................ ........I.... ...... ......... .................. . .........
4
6
10
8
Eb/No (dB)
12
14
Figure 4-22: M-FSK bit error rate versus Eb/NO
nals. MSK is a popular modulation scheme for mobile channels due to the following
desirable properties: constant envelope, good spectral efficiency, and good BER performance.
An interesting property of MSK is that it can be expressed in the following form
[21]
s(t) = a2kp(t - 2kTb) cos(2rfet) + a2k+lp(t - 2kTb - Tb) sin(2?
ft)
(4.35)
where the shaping function p(t) is defined as
p(t) =
0 < t < 2T
si(t) = 0,
(4.36)
elsewhere
Equation (4.35) implies that MSK can be implemented using a Quadrature modulator, with symbol period 2T and the
Q component
delayed by half a symbol period
Tb. Similarly, MSK can be detected using a Quadrature demodulator. Thus, the
performance of coherently detected MSK is as good as that of QPSK. Figure A-11 in
70
Appendix A shows the Quadrature waveforms, and Figures A-13 through A-16 show
the eye diagrams of Gaussian-filtered MSK (GMSK) signals with BT = 0.5 and 0.3.
GMSK with BT = 0.5 offers a wider eye opening than GMSK with BT
=
0.3.
When viewed as a form of FSK, MSK also has a simple interpretation. If a symbol
ak
is sent, where ak
=
±1,
the phase change during one symbol period is
A0 = ak 2 7r
_f
2
T
7r
= ak -
(4.37)
2
Thus, the phase advances by 90' if a one is sent and decreases by 90' if a zero is sent.
Figure A-12 shows the phase trajectory of the modulated MSK and GMSK signals.
Note also that the ampltiude always stays constant.
In light of this result, MSK can be directly modulated by a Voltage Controlled
Oscillator (VCO), as shown in Figure 4-23. The problem associated with direct VCO
modulation is that the VCO frequency accuracy and stability requirements are very
high. In practice, a PLL is used to stabilize the carrier frequency [24].
VCO
Baseband
NRZ data'd-1 hG(f)
PA
BPF
Figure 4-23: Direct VCO modulation of MSK signaling
In addition to the Quadrature demodulator, an MSK signal can be easily detected
using a noncoherent frequency discriminator circuit, as shown in Figure A-18. This
circuit is not as good as the generic noncoherent detection circuit shown in Figure
4-13 because the narrow bandpass filter, which is used in place of the correlator, does
not match to the input signal perfectly. However, this implementation is popular due
to its simplicity.
The output waveform obtained using the frequency discriminator circuit is shown
in Figure A-19.
The eye diagrams are shown in Figures A-20 and A-21 with the
bandwidth of the bandpass filters equal to 0.5/T and 0.3/T, respectively. When
BW=0.3, the eye looks half-way closed, while the coherently detected MSK signal
71
Envelope
-T
CIRCUIT
MEnvelope
Detector
coo
Figure 4-24: MSK detection with frequency discriminator
with BT=0.3 still has a wide eye opening. This shows that noncoherent detection is
inferior than coherent detection in terms of BER. The bit error rate of noncoherently
detected MSK is
Pe
4.6
2
e-Eb/2No
(4.38)
Summary
In this chapter, basic concepts in digital communications are introduced, and per-
formance comparisons are made among OOK, PSK, QAM, and FSK. OOK is the
simplest modulation scheme for which the performance is far from optimal.
PSK,
QAM, and FSK are all widely used in modern communications systems. Figure 4-25
shows the power efficiency versus bandwidth efficiency trade-off of M-PSK, M-QAM,
and M-FSK. The y-axis is the Eb/No required to achieve a bit error rate of 10',
and the x-axis is the bandwidth efficiency. QAM is complex and power hungry, so it
is only popular in high data rate applications. PSK is bandwidth efficient and has
a good balance between complexity and performance for small M. FSK is easy to
implement, has good power efficiency, but requires large bandwidth for large M.
72
SNR per Bit vs. Bandwidth Efficiency
40:25
SPSK
35
-,
QAMI
LFSK
: 128
30
4.
64
25
z
w
20
32
25
01
15
*i2
16
*42
10
4
16.
64
1o1
100
101
R/W
Figure 4-25: SNR versus bandwidth efficiency at BER = 10-5
73
*11,0""ad W
- --.- WMAMMON --
,
-1-1-1 . I -
II
- -1 -1 .11.
I -
11 11-
---
Chapter 5
Detection in Multipath Fading
Channel
In a classical communications system, the primary source of performance degradation
is thermal noise, and the main signal distortion is caused by bandlimited filtering.
However, in a wireless mobile environment, the above assumptions are no longer sufficient. Since the signal traveling from the transmitter to the receiver comes from
multiple reflective paths due to motions and obstructions, the received signal experiences variations in both amplitude and phase. This propagation model is called
multipath propagation, and the fading effect is called multipath fading.
In statistical terms, the multipath propagation model can be separated into two
types of fading effects: large-scale fading and small-scale fading. Large-scale fading
predicts the mean signal strength for large transmitter-receiver separation distances,
which are typically on the order of hundreds to thousands of meters.
The local
received power is computed by averaging signal measurement within a radius of 5 to
40 wavelengths [20]. Section 4.1 discusses the modeling of large-scale fading.
Small-scale fading models the rapid fluctuation of the received signal strength as
a result of very small changes in the spatial separation between a transmitter and
receiver. This change is on the order of a few wavelengths and can be as small as half
a wavelength. As shown in Figure 5-1 [25], small-scale fading is categorized into delay
spreading of the signal, which is a function of spatial characteristics, and time variance
75
of the channel, which is manifested in Doppler shift and spectrum broadening. Section
4.2 discusses the modeling of small-scale fading.
Multipath Propagation
large-scale fading
mean signal
attenuation
small-scale fa
variation about
the mean
delay spreading
of the signal
frequency
selective
flat
fading
time variance
of the channel
slow
fading
fast
fading
Figure 5-1: Multipath propagation channel characterization
Section 4.3 follows the discussion with link budget analysis, which tabulates the
power loss in the entire transmission path, including both large- and small-scale fading. Section 4.4 outlines the techniques that can be used to mitigate fading loss.
5.1
Large-scale Fading
5.1.1
General Description
The average received power, as a function of transmitter-receiver separation d, is
given by the following equation [21],
2
PR(d) = PTGTGRA
2
(47r) dnL
(5.1)
where each of the variables is defined in Table 5.1.
There are a few things to note in the above equation. The term PTGT is defined
as the Effective Isotropic Radiated Power (EIRP). This is the power radiated from
the transmit antenna assuming isotropic transmission. The Effective Radiated Power
(ERP), which is a commonly used terminology, is not the same as EIRP. Instead, it
76
:
PT :
PR
GT :
GR:
A :
d :
received signal power
transmitted signal power
transmitter antenna gain
receiver antenna gain
carrier wavelength
transmitter receiver separation distance
n :
path loss exponent
L :
system loss factor not related to propagation:
transmission line attenuation, filter losses, antenna losses, etc.
Table 5.1: Summary of variables for Equation (5.1)
is defined as the maximum radiated power compared to a dipole antenna, which has
a gain of 1.64. Consequently,
ERP = PTGT
1.64
(5.2)
The variable n in Equation (5.1) is the path loss exponent, which ranges from
n = 2 in free space to n > 4 in obstructed areas.
Some typical values of n are
summarized in Table 5.2 [20].
ENVIRONMENT
free space
obstructed in factory
urban area cellular radio
obstructed in building
n
2
2-3
2.7-3.5
4-6
Table 5.2: Summary of typical path loss exponent values
The average path loss PL(d) is defined as
PT
PL(d)[dB] =10 log PR
=
10 log
4GTRA2
(5.3)
In actual measurements, average path loss is determined at a reference distance
do, which is taken to be im in indoor channels and 1km for large cells. Path loss at
77
an arbitrary distance d > do is interpolated with the following formula
PL(d)[dB] = PL(d,)[dB] + 10n log( d ) + X,
(5.4)
where X, is a zero-mean Gaussian random variable with variance a2 , which models
the variation in the mean path loss.
5.1.2
Indoor Environment
An indoor factory environment is considered in this project. Thus, it is essential to
characterize the propagation characteristics in such a setting. An indoor environment
differs from the traditional mobile channel in two aspects. First, the distances covered
are much smaller. Second, the variability of the environment is much greater. Propagation in buildings is strongly influenced by specific features as lay-out, construction
materials, and building types, etc.
Equation (5.4) is still a valid model for indoor environment. Some typical data
on n and o is given in the following table [26, 27]
Building
office, hard partition
office, soft partition
Factory, LOS
light cluttered
heavy cluttered
Factory, obstructed
light cluttered
heavy cluttered
Frequency (MHz)
1500
1900
n'
3.0
2.6
o (dB)
7.0
14.1
1300
1300
1.8
1.8
4.6
4.4
1300
1300
2.38
2.81
4.67
8.09
Table 5.3: Summary of typical path loss data for indoor environment
The variation a can be quite large depending on different settings. This is why
an accurate prediction of large scale path loss is difficult to obtain. Fortunately, it
has been shown that in an indoor environment the path loss index is very close to 2 if
there are no walls in the transmission path [28]. In addition, the path loss variation
78
is small due to short transmission distance. In such an environment, the small-scale
path loss is a more serious concern.
5.2
Small-Scale Fading
Small-scale fading describes the rapid fluctuation of the received signal over a short
time or distance. The received signal is composed of multiple reflective rays which
vary both in time and space.
These rays superimpose at the receiver and cause
distortion in the received signal's amplitude and phase.
Figure 5-2 displays the received power of a small-scale fading channel [25]. The
input is a short pulse emitted at times tj and positions P, where P is spaced at .4A
apart. Note that the output power profile varies wildly depending on the particular
time and position.
P
t
P2
t
3
t3
t2
Figure 5-2: Response of a multipath channel to a narrow pulse
5.2.1
Channel Characterization
Since the channel response depends on the particular time at which input is emitted,
it is time-varying. Assuming that the channel attenuation is
a(T;
t), then the received
signal can be written as a convolution of the channel attenuation and the input signal
s(t) as follows [21],
r(t)
=
=
a(; t)s(t - T)dT
Zeal{f_
a(T; t)e-j2xfcs1(t - T)dr] ej27rftl
79
(5.5)
(5.6)
The variable si(t) in above is the complex-envelope representation of the input
signal. Thus the convolution in [-I represents the complex-envelope of the output signal. Consequently, the baseband equivalent channel impulse response can be written
as
C(T; t) = a(T; t)e-j 2 7fr
(5.7)
Since the channel is time-varying, the channel impulse response is in fact a random
process in both t and T. In order to understand the effect of the channel on system
performance, it is essential to characterize the channel response in statistical terms.
This is done by examining the channel autocorrelation function
#c(ri, T
2
; At), which,
in the case when two different path delays are uncorrelated, can be written as
Tc(TI,
T2 ; At)
(5.8)
= 0c(Ti; At)6(-i - T2)
The following subsection provides the analysis and interpretation of the above equation by separating the effect of 7 and t.
5.2.2
If At
-
Multipath Delay Spread and Coherent Bandwidth
0, then
the time delay
qc(T;
T.
0) =
c(T)
is the average power of the channel as a function of
This is shown in Figure 5-3. The transform of qc(r), k,(Af), is
shown in the same figure.
c
pf
Fourier
Transfrom
_
_Af
lo
Tm= multipath delay spread
0-1
(Af)c = coherent bandwidth
Figure 5-3: Multipath intensity profile and spaced-frequency correlation function
The multipath delay spread Tm is the time interval during which the received
power is non-zero. If Tm is less than the symbol period, then the channel delay due to
80
multipath will not affect the next symbol, and therefore there is no channel-induced
intersymbol interference. However, if Tm is greater than the symbol period, then the
next symbol will be affected, and channel-induced ISI occurs.
The coherent bandwidth (Af)c is a measure of the frequency coherence of the
channel.
Two sinusoids separated by more than (Af)c apart experience different
attenuation. (Af)c is inversely related to Tm,
(AA = TM
(5.9)
For an ideal channel, oc(Af) is flat since all frequencies are attenuated equally.
However, in the case of multipath, some frequencies are attenuated more severely
than others. If the bandwidth of the transmitted signal is less than (Af)c, then the
channel can be viewed as being approximately flat. In this case, the channel is said
to be frequency nonselective. Otherwise the channel is frequency selective. When the
channel is frequency selective, the frequency content of the signal is distorted severely.
5.2.3
Coherence Time and Doppler Spread
In Equation (5.8), if T is fixed, then the time-varying effect of the channel can be
observed by changing At. When the channel is time invariant, the received power
should be the same regardless of when the input signal is transmitted. When the
channel is time-varying, the output power becomes less and less correlated as At
increases, as shown in Figure 5-4.
#c(At)
is called the spaced-time correlationfunction,
and (At), is denoted as the coherence time. Two identical sinusoids sent more than
(At), apart experience different attenuation through the channel. If the symbol period
is less than (At),, then the received amplitude is approximately flat during the symbol
interval. This is called slow fading; otherwise, the channel is called fast fading. In
fast fading severe time-domain distortion occurs.
The Fourier transform of 0c(At) is the Doppler power spectrum Sc(A). The nonflat response of #,(At) results in a spectral broadening in the frequency domain, which
is measured by the Doppler spread Bd. Large Doppler spread introduces error in the
81
S( t )|
SI
Fourier
Transfrom
f
lAt
ABt
k______
__
Bd = doppler spread
(At) c = coherence time
Figure 5-4: Spaced-time correlation function and the Doppler power spectrum
carrier frequency, which can cause the carrier synchronization circuit to fail. Bd is
inversely related to (At), as
Bd
5.2.4
1
(At)c
(5.10)
Frequency-nonselective Slowly-Fading Channel
At frequencies in the lower GHz regime (i.e., UHF and SHF), the Doppler spread is
around 10Hz for a relatively stationary environment [21]. The coherent bandwidth is
reported to be above 5MHz at both 2.4GHz [29] and 5GHz [28] for indoor obstructed
environment. At symbol rate less than or equal to 1MHz, the Doppler spread makes
the channel frequency-nonselective and slowly-fading. Frequency-nonselectivity implies that equalization for cancelling channel induced ISI is not necessary. Slowlyfading means that the amplitude of the transmitted signal can be assumed to be
constant during a symbol period. Consequently, the channel response,
C(T;
t), is a
complex constant during one symbol interval.
C(T; t) = ae-j,
(k -- 1)T < t < kT
(5.11)
where a and 0 are random processes that change value every symbol interval.
Assuming that there is no line-of-sight component and that many multipath signals exist, then by the central limit theorem, C(T; t) can be modeled as a zero-mean
complex Gaussian process. It is well-known that the amplitude of a complex Gaus-
sian process is Rayleigh distributed, and the phase is uniformly distributed in [-7r,
82
7r] [30]. This model is called the Rayleigh fading model. The PDF of a is given as
f (a)
=
(5.12)
-e
o
More complex models of C(r; t) exist. For instance, if there is a line of sight
component, then C(T; t) is modeled as a complex Gaussian process with a non-zero
mean. The amplitude in this case follows a Rician distribution. Fortunately, it has
been shown that in obstructed sites the amplitude distribution is close to Rayleigh
[29], which simplifies the analysis and modeling process.
The received signal, r(t), can be written as
r(t) = ae-js(t) + n(t)
In addition, assuming the fading is slow enough that the phase
(5.13)
#
can be tracked by
the carrier synchronization loop, which is usually the case, the effect of the Rayleigh
channel is an amplitude scaling on the input signal s(t). This translates into a scaling
in the SNR -y = Eb/NO as follows,
_Eb
2
N= a2
No
(5.14)
If a is known, the probability of error can be computed the same way as demonstrated in Chapter 3 with the new
'Yb
as the variable of interest. The over all error
probability is then computed by averaging overall possible -Yb, i.e.,
Pe
f
P (yb)f (yb)dyb
(5.15)
ieYb
/'fb
'Yb
(5.16)
where f(Yb) is the PDF of the SNR,
f (Yb)
83
and -yb is the average signal-to-noise ratio defined as
Eb
N0
(5.17)
Yb =*-E[c ]
The above formulas provide the tools for deriving the error probabilities of various modulation schemes. Pe for several binary modulation schemes are provided in
Equation (5.18) and are shown in Figure 5-5. Unlike the water-fall curves shown
in Chapter 3, the bit error rate decreases much more slowly in a Rayleigh channel.
Note that there is a 3dB performance degradation from BPSK to coherent BFSK and
from coherent BFSK to non-coherent BFSK. Closed form solutions for higher level
modulations are complicated even if they can be derived [31]. Simulation is usually
used to determine BER for more complex modulation systems.
1/4[y coherent BPSK
1/27b coherent BFSK
Pe
1/7b
(5.18)
noncoherent BFSK
BER vs. Eb/No for Fading Channels
100
....
.....
W
........
....
Coherent 2-FSK
Non-cohe rent 2-FSK
10'
...(Ooherenit)'
10-2
..... .....
.....
..... ..
.....
..
.. .. .. . I (n-oncohe rent) . . . .
1 10
............
.....
....
........
10
1-5
10-6
5
10
15
20
25
Eb/No (dB)
30
35
40
Figure 5-5: Bit error rate in Rayleigh fading channel
84
45
5.2.5
Rayleigh Channel Modeling
In order to analyze system performance in a Rayleigh channel, it is essential to model
the channel as accurately as possible. Clarke and Gans have provided a model that
is based on the Rayleigh channel characteristics described above [20]. The baseband
equivalent model is shown in Figure 5-6. The two Gaussian noise generators produce the I and Q components, for which the magnitude of the sum has a Rayleigh
distribution. The Doppler filter is given by
Sc(A)
A
,
JAI < Bd
(5.19)
where A is the weight based on antenna gain and Bd is the Doppler spread. Sc(A) is
shown in Figure 5-4. When the Doppler spread is small, the Doppler filter is narrow
enough that the Doppler effect can be neglected.
Gaussian
Noise
Source
+ ce~
Doppler
DFler
Gaussian
Noise
Source
Figure 5-6: Modeling of Rayleigh channel with Doppler spread
5.3
Link Budget Analysis
Link budget analysis determines the required transmit power based on a desired bit
error performance at the receiver. It takes into account all the factors in the path
of transmission that cause signal attenuation. Furthermore, the link budget is highly
dependent upon the carrier frequency location. For this reason, frequency allocation
is discussed first.
85
5.3.1
Frequency Allocation
The frequency bands being considered in this project are the Industrial, Scientific,
and Medical (ISM) band and the Unlicensed National Information Infrastructure (UNII) band.
Both are unlicensed bands allocated by the Federal Communications
Commission [32].
The advantage of an unlicensed band is that it has a minimal
number of regulations. This facilitates experimentation and innovation as it is readily
accessible [33].
There are three ISM bands: 902-928MHz, 2400-2483.5MHz, and 5725-5850MHz.
In these bands, up to 1W of transmit power is allowed if the system uses spreadspectrum communication (either direct sequence or frequency hopping). If spread
spectrum is not used, then the field strength is limited to 50mV/m at 3 meters, or
about 0.5mW ERP [34]. Spread spectrum will not be used in this project since it
increases transmitter complexity and power consumption. Since the allowable power
is severely limited without using spread spectrum, the U-NII band seems to be more
preferable.
In the U-NII band, the only limitations are the peak transmit power, the peak
power spectral density, and the maximum transmitter antenna gain, as given in Table
5.4
[35].
Removing the requirement of using a particular multi-access technique allows
maximum freedom in developing innovative algorithms.
BANDS
5.15-5.25GHz
5.25-5.35GHz
5.725-5.825GHz
Peak Tx Power
50mW
250mW
1000mW
Peak PSD
2.5mW/MHz
12.5mW/MHz
50mW/MHz
Max Ant. Gain
6dBi
6dBi
23dBi
Table 5.4: FCC restrictions on U-NII Band
5.3.2
Link Budget
Figure 5-7 shows the procedure for determining the link budget of a communications
system [25].
The average transmit power is the sum of the modulation power (to
86
achieve a desired BER), the small-scale fading loss, and the large-scale fading loss.
Here we will determine the average transmit power for several different modulation
schemes. In practice, adequate fading margins are usually added to ensure complete
coverage even under the deepest fade.
Link Budget Analysis Diagram
0.0
8
0.06 -
.
-.
. . .-.
... .- .-.
-
. ..
-... . .
. - --..-.-- ..-
-.
..
-
Log-Normal
Large-Scale Fad
Small-Scale
Rayleigh Fading
0.0 4-
0.0 2 -
.... -......
......
-
0
9
0-1.0
-
Large-Scale
Fading Margin
Mean Path Loss (1/dn)
-0.0 2
-
-
-
. ....
jSmall-Scale
Fading Margin
Power Received
at the receiver.
-0.04
-0.06-
80
70
100
Power Loss (dB)
90
110
120
Figure 5-7: Link budget analysis for fading channels
Table 5.5 shows the assumptions for the link budget analysis. The carrier frequency is set at 5.8GHz. The large-scale fading loss will be less if the frequency is
lower. The noise power No is computed using Equation (4.1). As shown previously,
the path loss exponent of 2 is reasonable for an indoor obstructed factory environment. GT and GR are the transmitter and receiver antenna gains, which are unity
for isotropic antenna.
Table 5.6 lists the result of the link budget analysis. Using Equation (5.1), The
large-scale loss is computed to be -67.4dB. The received power is computed as,
PR =
where R is the bit rate, and
lb
No -R -,Y6
is the signal to noise ratio.
87
(5.20)
BER
Carrier freq.
1e 5
5.8GHz
Bit rate
1 Mbps
Noise Temperature
Noise Power (No)
path loss exponent
300K
-204dB
2
1
GT
GR
1
Table 5.5: Assumptions used in the link budget analysis
Modulation
2-PSK
4-PSK
1bits/s/Hz
1
2
8-PSK
3
16-PSK
4
2-FSK*
1
4-FSK*
1
8-FSK*
3/4
16-FSK*
1/2
16-QAM
4
64-QAM
6
(*) noncoherent demodulation
fb(dB)
44
PR(dB)
-99.9
44
46.2
50
50
47.9
46.9
46.3
52.8
58.3
P T(dB)
PT(mW)
-32.5
.56
-99.9
-32.5
.56
-97.7
-93.9
-93.9
-96.0
-97.0
-97.6
-91.1
-85.6
-30.3
-26.5
-26.5
-28.6
-29.6
-30.2
-23.7
-18.2
.93
2.2
2.24
1.4
1.1
.95
4.3
15
Table 5.6: Link budget analysis results
88
Figure 5-8 shows the transmit power versus bandwidth efficiency normalized to
a fixed bit rate of lMbits/s. M-PSK and M-QAM are both bandwidth efficient
modulations schemes that sacrifice high transmit power for good bandwidth savings.
Note that 16-QAM is slightly worse than 16-PSK in Rayleigh channel, unlike in
AWGN channel where it is the opposite. This is because in QAM constellation, both
magnitude and phase distortion deteriorate the performance, while in PSK only phase
distortion matters. For moderate bandwidth efficiency requirement, PSK is a good
choice. The new GSM system, EDGE, employs 8-PSK.
M-FSK, on the other hand, are power efficient modulation schemes where bandwidth is sacrificed for power efficiency. Even so, as shown in the figure, all M-FSK
constellations consume more power than 2-PSK. This is because noncoherent detection is used in FSK, which causes a 6dB loss in SNR for 2-FSK in comparison with
2-PSK. However, M-FSK is widely used in fading channels for two good reasons.
First, noncoherent detection, especially in the form of a frequency discriminator, is
very simple. Second, it does not require carrier synchronization, which is often difficult in a multipath environment.
64-QAM
/
10
-
- -
10
.......
......
....
..
...
.........
-..
.16-P.........K....
,16-PSK
1'2-FSK
04-FSK
100 -
16-FSK
-
-
-
-8-FSK
.
,8PSK
9-----------4PSK
2-_PSK
0.5
100
2
3
Bandwidth Efficiency (bits/s/Hz)
4
5
6
101
Figure 5-8: Transmit power versus bandwidth efficiency in Rayleigh fading channel
89
5.4
Mitigation Methods
The SNR penalty due to fading distortion can be as much as 30dB as compared to
an ideal Gaussian channel. Since mitigation methods are not studied in this thesis,
they are only mentioned briefly below.
Figure 5-9 shows various techniques that can be employed to combat signal distortion and SNR loss in a fading channel. If the channel is frequency-selective and/or
fast fading, adaptive equalization can be used to flatten the frequency response. In
spread spectrum, direct sequence (DS-SS) spreads the signal power onto a much wider
band so that deep fade at a particular frequency (narrow band) does not destroy the
entire signal. In a frequency hopping (FH-SS) system, the instantaneous carrier frequency never stays at a fixed location long enough to allow deep fade to corrupt an
entire symbol. Orthogonal Frequency Division Multiplexing (OFDM), on the other
hand, cuts a wide-band signal into smaller bands each of which is less than the coherent bandwidth of the channel. This turns a frequency-selective channel into many
frequency-nonselective sub-channels, which are combined at the receiver. Recently,
channel sensing techniques, such as pilot symbol assisted modulation (PSAM), have
been explored. These techniques attempt to compensate for channel amplitude and
phase distortion through pilot signaling [36]. All of the above methods are effective
provided that the channel distortion is not so severe; otherwise the bit error rate can
be irreducible.
If the channel is frequency non-selective and slowly-fading, the bit error rate can be
reduced as much as desired. In particular, diversity and coding are employed to shift
the fading BER curve toward the AWGN BER curve. Diversity provides additional
uncorrelated estimates of the signal through time (interleaving), frequency (bandwidth expansion), space (antenna diversity), or polarization. Error-correction coding
increases the minimum distance between blocks of symbols by inserting redundant
bits. These techniques are currently being explored for the microsensor project.
90
BER vs. Eb/No for AWGN and Fading Channels
100
.......
... ........
. ......
Freq. Selective/Fast Fading . . .. . . .
......
101
.
..
.. ...
~~ ~ ~... ~ ~
..
..
. S ......
rd
u
OF DM -- --.
-
....
- . ..-
P ilot Signal Sensing
10-2
SlwFat Fding
w 10
Rayleigh imit
-.
......
-freuency
-tm
10-4
Error
orrectron Ood ing
CO
10-5
10-6
0
5
10
15
20
25
30
35
Eb/No (dB)
Figure 5-9: Techniques for improving SNR in fading channel
5.5
Summary
In this chapter, large and small scale fading characteristics are discussed and appropriate models are given. It is found that the mean path loss at 5.8GHz is -67dB for a
transmitter-receiver separation of 10m. The Doppler spread at this frequency range
is around 10Hz, and the coherent bandwidth is above 5MHz. This makes the channel
frequency non-selective and slowly-fading. Under such a condition, Rayleigh channel
is an appropriate model for an obstructed indoor environment. The transmitter RF
power is computed for M-PSK, M-QAM, and M-FSK (noncoherent) at BER equal
to 10-.
It is found that M-PSK provides the best bandwidth efficiency and power
efficiency trade-off assuming that good carrier synchronization is achievable. M-FSK,
although consuming slightly more power, has the advantage of not requiring a carrier
recovery loop.
91
Chapter 6
Simulation Results
This chapter presents the simulations carried out to study the bit error rate performance of modulations schemes under phase-tracking and frequency-offset errors in
both the AWGN and Rayleigh fading channels. Section 6.1 explains the simulation
tools used and how they fit into the development cycle. Sections 6.2 and 6.3 develop
a system level model that incorporates all relevant variables in the proposed system.
Section 6.4 shows simulation results for demodulators that employ Phase Shift Keying
and Frequency Shifting Keying, which are the two main modulation schemes under
consideration.
6.1
Simulation Tools
Most of the simulation is carried out in the Cierto Signal Processing Work System
(SPW) developed by Cadence. SPW is a DSP simulation package that allows designers to work with high-level block diagrams with no need to detail the hardware
implementation. It is similar to the Matlab Simulink toolbox.
The SPW environment is composed of two main tools: the Block Diagram Editor
(BDE) and the Signal Calculator (SigCale). The BDE allows creation of customized
circuit diagrams and performs time domain simulations of the design. Block diagrams
can be either coded in C or can be built using lower-level block diagrams. The SigCalc
displays input and output signals and provides basic math tools such as FFT, eye93
diagram, and auto-correlation function for data analysis.
SPW can be integrated into other Cadence products to produce the VHDL code for
hardware implementation. This improves over the traditional product development
cycle where the software simulation and the hardware implementation are two parallel
processes [37].
As shown in Figure 6-1 [38, 39], the standard tools provided by SPW allow floatingpoint algorithms to be implemented to ease design effort, simulation time, and complexity. The floating-point algorithm is then converted to fixed-point algorithm, where
fixed-point values are assigned to represent the number of bits available. This is again
simulated, and the completed design is ported to a HDL simulator through an optional
package called the Hardware Design System (HDS). A VLSI design and simulation
tool, such as Synopsys, can be used to convert the HDL code to gates, which can
then be implemented in PROM, FPGA, or ASIC format.
Cadence SPW/HDS
Floating-Point
SPW
Block Diagram Editor
Signal Calcualtor
Libraries
Algorithm
Fixing-Point
Algorithm
Hardware
Architecture
VH DL
Libraries
H ardware Design
v
System (HDS)
VHDL
Generation
i
Synopsys6
VHDL Compiler
Synopsys
Design Analyzer
Figure 6-1: SPW connects software simulation to hardware implementation
Due to the advantages mentioned above, developing a system-level simulation in
SPW not only provides a good feel for system performance, but also allows incorporation into the hardware stage in the future. In this research, all simulations are done
with floating-point algorithms. The objective is to compare the system performance
under simplified assumptions; therefore, it is still far from the hardware development
94
stage.
6.2
Complex Envelope Representation
A communication system is typically simulated at the baseband to reduce the amount
of computation required. For instance, a 1MHz baseband signal requires a sampling
rate of greater than 2MHz. However, if it is at an IF of 100MHz, then the sampling rate has to be above 200MHz, which places two orders of magnitude greater
computational demand to process the same number of input symbols.
Any modulated signal can be written as
s(t) = a(t) - cos[wc(t) + #(t)]
(6.1)
#(t)
is the phase (or frequency)
where a(t) is the amplitude modulated component,
modulated component, and cos[wc(t)] is the carrier. This signal can be rewritten as
the real part of a complex signal as follows
s(t) = Real a(t)e0() -ej''d4)
(6.2)
What the above formula does is the separation of the carrier component from
the baseband signal component. Since Real{G(-)} is equivalent to G{Real(-)} for
practically all functions G(-) [40], it suffices to work with the complex baseband
function a(t)ei0(0, which is called the complex envelope of the modulated signal.
6.3
System Level Model
The simulated system is composed of the three basic blocks shown in Figure 6-2. The
modulator performs constellation mapping and signal shaping. The channel block
introduces distortion and noise. The demodulator block performs matched filtering
and makes decisions.
95
s(t) JIr(t)
{ak}
{14}
MODULATOR
CHANNEL
DEMODULATOR
BLOCK
BLOCK
BLOCK
Figure 6-2: Simulation model and block diagram
The ideal modulated signal is
s'(t) =
Z SkjP(t -
kT)
(6.3)
k
However, distortion occurs due to non-idealities of transmitter electronics, and noise
is introduced through the channel.
In order to represent the effect of all major
distortions and noise as accurately as possible, the following model is adopted to
represent the received signal [41]. Each of the variables is defined in Table 6.1.
r(t) = a(t)ej[ 2 fe(t)+b(t)
Skp(t -
(k - Te)T) + n(t)
k
r(t):
a(t):
fe (t):
b(t):
Sk:
p(t):
Te:
T:
m(t):
received signal at the demodulator block
carrier attenuation caused by fading
carrier frequency error
phase error
complex envelope representation of the kth input symbol
shaping function (plus channel distortion, if any)
symboling timing error
symbol timing
complex AWGN
Table 6.1: Summary of variables for Equation (6.4)
96
(6.4)
6.3.1
The Modulator Block
Basic block diagram of the modulator is given in Figure 6-3. The modulator output
is given by
s(t)
-
eJ27fe(st)t'(t)
-
e j2-fe(t)t -
(6.5)
kT)
Skp(t k
where the exponential term is the frequency error of the carrier due to the non-ideal
frequency synthesizer. The symbol energy E, is adjusted to keep Eb/No consistent
for all M-ary modulations.
(Random)
Data
Generator
[ak)
Constellation
4
sk
-M
Mapping
Shaping
Filter
p(t)
s '(t)
s(t)
oiao
out
ej2tfe(tt
Figure 6-3: Basic modulator block diagram
6.3.2
The Channel Block
AWGN Channel
The channel models are based on the discussions presented in Chapters 4 and 5. The
Gaussian channel is given in Figure 6-4, where n(t) is the continuous additive white
Gaussian noise with two-sided power spectrum density No/2.
CHANNEL
r(t)
s(t)
n(t)
Figure 6-4: AWGN Channel Block Diagram
97
Since simulations are performed in discrete time, the noise is discrete and its
variance is o 2 = No - BW, where BW is the single-sided bandwidth of the signal.
However, when investigating the BER as a function of -y
Eb/No, it is often simpler
to choose o 2 as a function of -y and Eb.
x(t) andx[n]
N-1
A
t
0
T
T
Figure 6-5: Continuous versus discrete time representation of signals
Consider the continuous and discrete signals shown in Figure 6-5. Td is the sampling period, T, is the symbol period, and N = T/T is the number of samples per
symbol. Assuming white noise is added to the signal, the sampled signal has a SNR
equal to
E,/T
SNR=
(6.6)
s
2
Td
which should be equivalent to the average SNR per sample, which is
SNR=
1
1:N-1 X2
N
l2[
[]
A2
2
(6.7)
Solving the above two equations for 72 yields
o2 _
A
2 -y
(6.8)
In simulations that are carried out, A is chosen to be 1, and the appropriate a 2 is
then determined from the desired -y.
Rayleigh Channel
The second type of channel considered in this project is a Rayleigh Channel, which
is shown in Figure 6-6. It is the Clarke's model as mentioned in Chapter 4. Since the
98
Doppler spread at the GHz regime is only 10Hz, the spectrum shaping filter developed
by Gans is not necessary for a relatively stationary environment. The output of the
Rayleigh channel is equal to
R = x + y =_ aejo
(6.9)
where x and y are i.i.d. Gaussian random variables with variance a , oe is a Rayleigh
random variable with E[a 2 ] = 20 2 , and 0 is a uniform random variable in [-7r,
7r].
The timing block holds x and y constant for a symbol period since slow fading is
assumed.
-11--
1
1 part:
-ain
fra
i-
Hold
0.5
os
4
"
GAUSSIAN
-
out
RANDOM
GENERATOR
Double
Real/Imag
to Complex
TIMING
compl"
3--
Hold
GAUSSIAN
RANDOM
GENERATOR
)
.
=-)
nout==
D.uble
Figure 6-6: Rayleigh channel for small Doppler spread
Another approach is to simply construct a Rayleigh random variable generator
from its Probability Mass Function (PMF). This approach is tested, and it is found
that when there are enough representation points for the PMF (5000 points are used
in the experiment), the result is equivalent to Clarke's model. This approach is shown
in Figure A-8.
6.3.3
The Demodulator Block
Matched filter detection is used in the M-PSK demodulator, which is shown in Figure
A-9. The demodulator performs matched-filtering and then makes a symbol decision
99
based on the appropriate constellation.
Noncoherent frequency discriminator detection is used in the FSK demodulator,
which is shown in Figure A-18. The circuit produces an output that is proportional
to the input frequency, as shown in Figure A-19. The noise variance at the output is
2
N
j
1HG(f
2
df
=
2 f c
1.06NoB
where B is the 3dB bandwidth of the Gaussian filter. For BT
(6.10)
=
0.5, the Gaussian
filter admits approximately the same amount of noise as an ideal Nyquist filter. A
narrower Gaussian filter reduced the amount of in-band noise but increases distortion.
6.4
Simulation Results
Assuming that the desired symbol error rate is P, the number of symbols that need
to be generated to ensure a good estimate on P, can be computed as follows. Let xi
denote the result of running one symbol: xi
P); xi
=
1 if an error is made (probability is
0 if a correct decision is made (probability is 1 - P). Thus, xi is a Bernoulli
random variable with variance or2 = P,(1 - P) - P. Now suppose N such trials are
run, and define a new random variable Y such that
Y
N
1
=
Exi
(6.11)
N=1
By the Central Limit Theorem, Y approaches a Gaussian distribution with variance
a 2 /N for large N. If the desired symbol error probability is to be within P,(1 ± 6)
for every 95 runs out of 100 (i.e., within ±2 standard deviations), then the following
must be satisfied,
6P8
0 6(6.12)
N <2
U-
This results in
N >
62 P,
100
(6.13)
For instance, if J = 10% and P, = 10-3, then N has to be greater than 400,000.
To reduce simulation time, P, is limited to 10-3, and 1,000,000 symbols are tested
for each simulation.
6.4.1
M-PSK
Phase Shift Keying is chosen as the design example of coherently detected systems
because it offers very good balance between bandwidth efficiency, power efficiency, and
complexity. 2-PSK, 4-PSK, and 8-PSK systems are simulated with phase tracking
errors in both AWGN and Rayleigh channels.
To verify the functionality of the
system, simulation results are compared to theoretical predictions whenever they are
available. In M-PSK, the static phase tracking error in an AWGN channel and the
ideal response in the Rayleigh channel match their respective theoretical results with
less than 5% error in most cases. There are no analytical expressions for dynamic
phase tracking errors in AWGN or Rayleigh channels. These are simulated and are
displayed in Figures 6-7 through 6-12.
If the transmit power is increased by 2dB, then the maximum allowable phase
tracking error that does not cause BER degradation is 250 for 2-PSK, 12' for 4-PSK,
and 7' for 8-PSK. Since Gaussian error is assumed, the above numbers are standard
deviations. This implies that a doubling in M reduces the allowable phase tracking
error by roughly a factor of 2.
Rayleigh channel simulations have produced very
similar results.
6.4.2
FSK
Binary MSK is simulated with static frequency offset error in AWGN and Rayleigh
channels. The results are shown in Figures 6-13 and 6-14. With a 2dB increase in the
transmit power, the maximum allowable frequency deviation is p = 0.075, which is
75kHz for a 1MHz data rate, in the AWGN channel. In the Rayleigh channel, p
=
0.1,
which is 100kHz for a 1MHz data rate.
Simulation of M-FSK is currently being implemented. It is expected that the BER
101
of M-FSK due to frequency offset error will only degrade slightly from the MSK case
because what affects the performance is the frequency separation (i.e., modulation
index) between adjacent FSK signals rather than how many signals there are. Future
simulation will focus on this issue.
102
2-PSK BER Degradation Due to Gaussian Carrier Phase Error
in AWGN Channel
-
CF20P
a100
F=*
........... ........_
~1
w 0-
10
2
3
4
5
6
7
8
Figure 6-7: 2-PSK BER degradation due to dynamic carrier phase tracking error in
AWGN channel
2-PSK BER Degradation Due to Dynamnic Phase Error
in AWGN Channel
c=30'
LU -2
;=0*
0-100
0=00
10
1N
E b/NO
20
225
Figure 6-8: 2-PSK BER degradation due to dynamic carrier phase tracking error in
Rayleigh fading channel
103
1011
4-PSK BER Degradation Due to Dynamic Phase Tracking Error
in AWGN CHannel
... .. ..
... .. ..
102
4-PSK...
10i
3
DerdainDu.oDyai.PaeTrcig.ro
.......
4
5
6
Eb/NO (dB)
7
8
9
10
Figure 6-9: 4-PSK BER degradation due to dynamic carrier phase tracking error in
AWGN channel
4-PSK BER
10'
Degradation Due to Dynamic Phase
in Rayleigh Fading Channel
Tracking Error
6-200
W-2
110
0 3~u
0=5
10
15
20
25
ES/N,
Figure 6-10: 4-PSK BER degradation due to dynamic carrier phase tracking error in
Rayleigh fading channel
104
8-PSK BER Degradation Due to Dynarnic
Phase Error
in AWGN Channel
10
--
T..
...
..
..
10-
10
2
3
4
5
6
E b/No
7
8
9
10
11
12
Figure 6-11: 8-PSK BER degradation due to dynamic carrier phase tracking error in
AWGN channel
8-SPK BER Degradation Due to Dynamic Phase Error
in Rayleigh Fading Channel
(T=150
102
..............
..........
....
........ ........
...........
.......
..............
.. ......
.... ........... ..
.........
......
....I.............
.......................
.........
........
...............
0=100
. ......
...... .
................
...........
................
10............
.........
..............
.............
..............
............
... ........
......... .. .....
...............
. .....
............
..............
0=00
......
.............
10
1
Eb/No
20
25
30
Figure 6-12: 8-PSK BER degradation due to dynamic carrier phase tracking error in
Rayleigh fading channel
105
Noncoherent MSK with Static Frequency Offset Error
-.-.......
10-
-.
....... -..
...
(L
~
~
~.. . ...
............
to-
10'
2
. . ..
. ..
....
4
6
8
Eb/NO (dB)
10
12
14
Figure 6-13: Noncoherent MSK BER degradation due to static frequency offset error
in Rayleigh fading channel
Noncoherent MSK with Static Frequency Offeset Error in Rayleigh Channel
10
deal
-
.06
-
.075
.5
.015
.
p=0.1
p.0.075
I
CL
..2
p=
10
1
0
15
20
0
25
Eb/NO (dB)
30
35
40
Figure 6-14: Noncoherent MSK BER degradation due to static frequency offset error
in Rayleigh fading channel
106
Chapter 7
Conclusions
This thesis has presented system-level studies of base station design issues for wireless
microsensor systems. This chapter summarizes the research findings and provides
directions for future research.
7.1
Summary
Design issues faced by wireless microsensor systems are quite different from those
faced by conventional wireless data and voice systems. In particular, due to the
short transmission distance and low data rate in wireless microsensor systems, the
modulation power is small as compared to the transmitter electronics power. This
inherent property suggests that modulation techniques should be designed to help
minimize the transmitter electronics energy consumption.
A global energy minimization approach is taken where the transmitter energy is
minimized as a whole. This is different from traditional approaches where the circuit
issues are decoupled from the system issues.
The transmitter energy equation, as analyzed in Chapter 2, is
Etot = Estart
+ Eon
-
Pstart - tstart + (PE + PRF) ' ton
Three design techniques affect the parameters PE,
107
PRF
(7-1)
and ton, as summarized in
the following table.
Effect On
Design Techniques
binary -+ M-ary modulation
increase RF power to
PE
4
ton
I PRF
4
4
t
none
none
4
t/none
4
reduce transmitter complexity
coding/diversity
Table 7.1: Energy minimization trade-offs
M-ary modulation reduces to, at the expense of increased PE and PRF. The RF
output power can be increased to relax the requirement on the phase noise of the
VCO and on the frequency offset error of the frequency synthesizer. Error correction
code and diversity techniques reduce the RF output power.
Chapter 2 studies the trade-offs in the above techniques.
In order to analyze
these trade-offs, the RF output power is estimated based on system parameters and
appropriate channel model, which is the Rayleigh fading channel.
The Rayleigh
channel is a good model for an obstructed indoor environment at the GHz regime, as
shown in Chapter 4, which also details the link budget process.
It is found that the RF output energy is about or below 10% of the total transmit
energy for M-PSK and M-FSK, where M < 16. M-ary modulation schemes achieve
the greatest energy savings when the ratio
ton/tstart
is large and PRF is small (relative
to PFS and/or PB). In addition, noncoherent M-FSK achieves more energy savings
than M-PSK for M > 8. This makes M-FSK attractive, especially because it also
does not require carrier synchronization, which can be difficult in a multipath fading
channel. M-FSK demands more bandwidth than M-PSK, which can be a limiting
factor at low frequencies (below 2GHz) where bandwidth is scarce. This problem
may be circumvented by careful planning of the spectrum. In the unlicensed band
in the GHz regime (UHF and SHF), large bandwidth is available to make M-FSK a
realistic option.
Chapter 2 also shows that the transmitter energy consumption can be reduced
by trading off higher RF output power for reduced complexity in the VCO and the
108
frequency synthesizer. Chapter 6 provides system-level simulations of M-PSK and
FSK under phase tracking and frequency offset errors in AWGN and Rayleigh channels.
It is found that the phase tracking error for M-PSK becomes stringent for
large M. Noncoherent FSK performs very well in Rayleigh channel even under mildly
severe frequency offset errors. This again makes FSK more preferable for wireless
microsensor systems.
Although coding and diversity techniques are not investigated in this thesis, it is
worth noting that they have to be used along with efficient retransmission schemes
to achieve a packet error rate on the order of 10-.
Coding and diversity algorithms
add very little extra power to the transmitter because they are implemented in the
digital domain. However, care must be taken in designing the coding algorithm since
redundancy increase t,,.
Chapter 3 lays out the ground work for the design of the base station at the
architecture level. A digital-IF architecture is proposed that offers the highest reconfigurability. This architecture, which is based on the software radio concept, provides
enough flexibility to implement various modulation/demodulation techniques on a
common hardware platform.
7.2
Future Work
The study carried out in this thesis provides only a preliminary system-level design
analysis for a wireless microsensor system. Although several useful results have been
proposed, actual data on the power consumption of various transmitter components,
which should be designed specifically for wireless microsensor systems, must be available to evaluate how much energy savings can be achieved using each of the strategies
mentioned in the thesis. Thus, the next step of the research is to design key transmitter components with different performance specifications, and to examine how they
fit into the global energy equation.
109
110
Appendix A
Schematics and Figures
111
BPSKIQPSK Baseband
Modulator
Test
.Library/File:
roll-off=5
C m lX1to
Raised Cosine Filter
2Complex
COMPEX
CM
Real/Imag
7 7-_
x
)
)
comaplex
X
imnag
Double
i
ASYNC
SIGNAL
SINK
Display Order=3
COMPLEX
WHITE z>
NOISE
-Library/File:
roll-of=1
Raised
Cosine
2opxto
C7PE
QPSK
SOU RCE
--
x
Real/Imag
Y
x
X
z
)
)
X
-De
ASYNC
reSIGNAL
nSINK
Double
0<8>
Library/Fil
-ope
cn
compcx
Complex to
Real/Imag
""
Double
-Display
tY
t
ASYNC
SIGNAL
SINK
0ode=1
Display
if
Order=2
----K,
Point
I
0 Win Size= 300 pts Shift= 0 Tre="S.nw
nWin*#=
I
I
-
Unfiltered Basband BPSK Data
~
11
I
I
I11
I
-
II
Select=I'S1[2 1]
I
iJValue
Baseband BPSK with RCos Filtering
I
... 21/results/42.ascsi
Type = Double
Samp. Freq. = Async
# Pts = 8000
Point# = 31
= 0 sec
Tine
= -1
(a=1)
1... 21/results/24.ascsid
2~-
Type = Double
Soup. Freq. = Async
Z2 /:
,/ \
n8
Pts = 7968
= 31
=O0sec
Tin3
Value = -1.04
Point#
Basband BPSK with RCos Filtering (a=.3)
|... 21/results/46. ascsi
Type = Double
2-
Samp.
-2
Freq. = Asyrc
8 Pts = 7968
Point* = 31
Tine = 0 sec
Value = -1.41
_
Figure A-2: Unfiltered and filtered BPSK baseband signals
1.2
1.0
0.8
0.6
v
. .. . .. .. .. .. .. .. . .
. .. . .. .. .. .
. .. ..
. ..
.. .
. .. .. .. .. .. . .. ..
. .. .. .. .
. .. .. .. .. ..
. .. .. . .. .. .. .. . .
. .. .. .
. .. .. .. . .
0.4
.. .. .. .. . .. ... . . . .. .. .. . .
. .. . .
.. .
. .. ..
. .. .. . .. .. .
. .. . . .. .. . .. .
0.2
.. .. .. .. .. .. . .. .. . .. .. .. . .. .. ..
. ... . .. .. .. .. . ... . ..
0.0
0.2
-0.2
-0.4
.
0.4
1.2
0.8
0.6
.. .. . .
. .. .. .. .. .. . ... . .. .. .. .. . ...
. .. .. . .. .
. .. ..
... . .. .. ..
.. . .. . .. . .. .. ..
-0.6
1.4
.. . .. . . .. .. .. ..
. .. .. .. .. . ..
.. .. .. .. .. .. . ..
AO
1.8
1.6
.. .. .. .. . .. . . .. .. .. .. . .. .. .
...
. .. .. .
.. .. . .. .
-0.8
-1.0
7
ME R5
A
-1.2
Samp./Symbol = 16
Point
=
# of Pts =
X Value
=
Y Value =
Figure A-3: Eye-diagram of BPSK signal with raised cosine filtering (a=i)
113
FFT of Unfiltered Baseband BPSK Signal
-0.5
-0.4
0.2
0.1
0.0
-0.1
-0.2
-0.3
0.4
0.3
0.5
0
0
. --
-. -.
0
.
'
. -..
.-.. . .
. . ..
-0
1025
# Pts
Frequency = -0.348633
Magnitude = -23.0841
Bin# = -357
Figure A-4: FFT of unfiltered BPSK baseband signal
-0.5
-0.4
FFT of
RCoE
-0.3
-0.2
(a=l)
Filtered
0.0
-0.1
Easeband
0.1
DPSK Signal
0.2
0.3
0.4
0.5
...........
*........*
0
-30
-..-.....-....
-.
...
...
.......
..........--
.
..
.. ... .-4870
0
# Pts = 1025
Frequency = 0.495117
Magnitude = -43.9474
Bin# = 507
Figure A-5: FFT of raised cosine filtered (a = 1) BPSK baseband signal
114
OS
C)
C)
OS
'C)
C)
Si
lI
N
4S
TS
z z
z
:
0
C)
C)
'C)
Figure A-6: QPSK/MPSK system with phase tracking error in Rayleigh fading channel
115
varince
f ral
Hold
GAUSSIAN
RANDOM R
GENERATOR
0.5
prt:
0.5
out
0<Real/Imag
to Complex
4*
TIMINGcms*-4 -X
Dobl.
Hold
GAUSSIAN
RANDOM
GENERATOR
n
ot==0<9>
Figure A-7: Rayleigh channel based on two independent Gaussian generators
R avleigrh
Hl
Hold
PM
n
leg
lc
RayleighBlck
ARBITRARY
MF RANDOM'-"->-GENERATOR
TIMING
V
--MAG/PHASE
-256==
TO
COMPLEX
>
Uniform PMF
Hold
ARBITRARY
PMF RANDOM
GENERATOR
h.<8>
Figure A-8: Rayleigh channel based on PMF generation
116
M-PSK Demodulator
MAIN PARAMETERS:
Sampling frequency
Baud .a,.1.
Input
Library/Fil-
2.0
ConstelIation
Channe
COMPLEX
256.0
power
rotation
phase
Tinodelay
(Deg.)
rotation
to input
0.0
(Deg.)
0.0
0.0
(Sec.)
MISCELLANEOUS PARAMETERS:
SIGNAL
Initial
SINKrf-ow
-a1-
0.0
0..0
-alue
Error ....I befnre
.. u...n
Actiont
or continue)
akeni
(,top
L..brry/Fil.:
'so'COMPLEX
)
2
y
22
2
a-.:1.0
2
x
8--magv:
/
0K
2r
01-d
,2
.
SINK
'
-0.0
o
ASYNC
SIGNAL
M-PSK Slicer
\2
4
\
\
PSK
r
SLICER
1'_
-
255
ASYNC
SIGNAL
TIMING
).
Uxue-
x
SINK
)
r-2s6
h.1d
GMSK MODULATOR BLOCK PARAMETERS
MAIN PARAMETERS:
ooliod bood-,jdd
3-dD o
GMSK Modulator Test
0.3
(BT product)
0.0
C.rier froqoe..y (Hz)
Sampling frmquency (Hz)
.o..
(h)
16.0
1.0
r-1.
O-q
Tap
1,ibrary/File:
ISCELLANEOUS
--
Initial
COMPLEX
S)x
I.nglh (...d
for
shaping)
Gasinfilter
PARAMETERS:
-alu.
0.0
0.0
0-f,.- valu
SIGNAL
64
Error count before action
SINKAcintkn(tpocotne
LUbrry/Fil.:
Gaussian
QPSK
-N
COMPLEX
-A--)
k"" x
COMPLEX
T
-\2-\-)x
Cn
00
-)-xnu
SOURCE
Library/Fil.:
Filter
-.
REAIJIMAG
ASYNC
00a
)
1
SIGNAL
Xssm
):
SINK
rtim.
Cn
MODULO
ui x
)inQ
)
-x
Value:
~INTEGRATOR
)x
HERTZ
TO
Y )
X
)
2)
RDA
0.25
COMPLEX
x
FM Modulator
TONE
x
0
COMPLEX
x SIGNAL
SINK
z/2
Si new
Win#= 0 Win Size= 256 pts Shift= 0
Seled=
Baseband Data
I
t
/re
...
Type - Double
1
Sap. Freq.
10000
_____________________________________________* Pt.
Poin"t - 0
fSee
Time
Value
-
1
MSK Signalling
..rm1/results/12.sid
C".plex Doeble
3ea1
TypT-a1p.
-1
1
I Pts
Freq. - 1
loWom
- 0
De.C
Point#
Tie
Real
in"g
-0.905
0.098
-l
[s73
GMSK Signalling (BT=0.5)
...
ta
ruel/e
Type
ai
-l
ointl
1
T
Deal
KI
1<1 1I
-
s
Double
-CMplex
1
. Freq. = 9968
a ts
0
C-
-0.976
Teg=0. 27
1-1-1
Figure A-11: GMSK I/Q channels waveforms - Quadrature modulator
Win#=0
Win Size= 266 pt Shift=0
Seled=
p
Baseband Data
...
ruel
/re
t/1.si
Type - Double
Sap. Freq. - 1
# Pts - 10000
Points . 0
-Dee"
Tim.
Value = 1
s-2
MSK Signalling
n|
1
a9/
dsl/1..i.
.. r
Seep. Freq. - 1
0Pts - 10000
200
I
nI.
fec
0
Ph...
5.63
-2001
GMSK Signalling (BT=0.5)
F
Type = lComplex
sap Freq. D Pta - 9968
200
Nag
0 MC
-1l
Phase
- 12.5
Te.
Doubi..
-200
i<l l
b>1
Figure A-12: GMSK magnitude/phase waveforms - FM modulator
119
QKSK Coherent Detection
with B~r
(I Component)
1.0
0.8
0.6.
0.4
0.2
0.0
3
2
1
t
-0.2
-0.4
-0.6
-0.8
-1.0
Figure A-13: GMSK (BT=0.5) coherent detection I-channel eye diagram
(Q Component)
1.0
0.8
0.61
0.4
0.2V
0.0.
3
12
-0.2
-0.4
-0.6.
-0.81
-1.0
Figure A-14: GMSK (BT=0.5) coherent detection
120
Q-channel
eye diagram
G14SK Coherent Detection with BT-0.3'
(I Component)
1.0
0.8
0.4
0.2
0.0
3
12
-0.2
-0.4
-0.6
-0.8
-1.0
Figure A-15: GMSK (BT=0.3) coherent detection I-channel eye diagram
fGREK Coherent Detection with BT-0.3V
(Q Component)
1.0 -
0.8
0.6
0.4
0.2
0.0
-0.2
-0.4
-0.6
-0.8
-1.0
Figure A-16: GMSK (BT=0.3) coherent detection
121
Q-channel
eye diagram
T
It1
Q
I.
?
Figure A-17: Noncoherent MSK system with frequency offset-error in Rayleigh channel
122
Oq
Non-coherent FSK Demodulator
00
Sampling Frequency:
Gaussian BW:
Gaussian FIR
CJ2
uassian
COMPLEX
2
SPECTRAL
SHIIFTER
2COMPLEX
length:
16.0
0.3
64.0
Translation Frequency(+):
0.25
Translation Frequency(-):
-0.25
Filter
COMPLEX
R
Y2>
) x
mag
MAGNITUDE
uassian
COMPLEX
2
SPECTRAL
SHIFTER
COMPLEX
)
7
Ox
Filter
CCMOEXPLEXCMP\EX
x
mag
MAGNITUDE
)
in]
out
)
ul
P-~Win#= 7 Wn
o
Sie= 512 pts Shift= 0
I
C3la
Select= .3[4819
2nv
Input Data
Type -Dule
Sasp.
t/
s
Freq. - Amync
-ale_FS2
on-coherent
Detection with
Filter BW
0.5/T
Type -
-im.
FS
-Double2
a_
Non-coherent
Detection with
Filter
BW -0.2/T
Tye1~Du
rq -Ap
-1 _p.
C>
Li
Figure A-19: MSK frequency discriminator output waveforms
NSK Non-coherent Detection
(Filter BW=0.5/T)
0.3
0.2
0.1
0.0
1
2
3
-0.1
-0.2
-0.3
Figure A-20:
(BW=0.5/T)
Frequency discriminator output eye diagram with Gaussian filter
124
[4K Mon-coherent Detection!
(Filter BW-0.3/T)
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0.0
-0.1
-0.2
-0.3
-0.4
-0.5
-0.7
Figure A-21: Frequency discriminator output eye diagram with Gaussian filter
(BW=0.3/T)
125
126
References
[1] SPW Communications Library, Floating Point Reference, 1999.
[2] A. Brooks. Nokia Passes Motorola as Top Cellular-phone Maker. Detroit News,
1999.
[3] B. Razavi. RF microelectronics. Prentice Hall, 1998.
[4] S. Cho. PhD Thesis Proposal. Massachusetts Institute of Technology, 2000.
[5] D. McMahill.
PhD Thesis Proposal. Massachusetts Institute of Technology,
2000.
[6] A. A. Abidi. Direct-Conversion Radio Transceivers for Digital Communications.
IEEE Journal of Solid State Circuits, December 1995.
[7] H-C. Liu, J. S. Min, and H. Samueli. A Low-Power Baseband Receiver IC for
Frequency-Hopped Spread Spectrum Communications. IEEE Journal of SolidState Circuits, pages 384-394, March 1996.
[8] A. Rofougaran and et. al. A Single-Chip 900-MHz Spread-Spectrum Wireless
Transceiver in 1-pm CMOS
Part II: Receiver Design. IEEE Journal of Solid-
State Circuits, pages 535-547, April 1998.
[9] K. B. Patel. Ultra Low-Power Wireless Sensor Demonstration System: Design of
a Wireless Base Station. Master's thesis, Massachusetts Institute of Technology,
Department of Electrical Engineering and Computer Science, 1999.
127
[10] K. Boehm and et. al. An IF Digitizing Receiver for a Combined GPS/GSM
Terminal. In Radio and Wireless Conference, pages 39-42, 1998.
[11] C. Chien and et. al. A Single-Chip 12.7 Mchips/s Digital IF BPSK Direct Sequence Spread-Spectrum Transceiver in 1.2 pm CMOS. IEEE Journal of SolidState Circuits,pages 1614-1623, December 1994.
[12] V. Bose and et. al. Virtual Radios. IEEE Journal on Selected Areas in Communications, pages 591-602, April 1999.
[13] D. Chester. Digital IF Filter Technology for 3G Systems: An Introduction. IEEE
Communications Magazine, pages 102-107, February 1999.
[14] C. Moreland and et. al. A 14b lOOMSamples/s 3-Stage A/D Converter. In ISSCC
2000 Digest of Technical Papers, pages 34-35, 2000.
[15] J. E. Gunn, K. S. Barron, and W. Ruczczyk. A Low-Power DSP Core-Based Software Radio Architecture. IEEE Journal on Selected Areas in Communications,
pages 574-590, April 1999.
[16] W. H. W. Tuttlebee. Software-Defined Radio: Facets of a Developing Technology.
IEEE Personal Communications Magazine, pages 38-44, April 1999.
[17] G. C. Ahlquist and B. Nelson. Error Control Coding in Software Radios: An
FPGA Approach. IEEE Personal Communications, August 1999.
[18] J. Williams and et. al. A 3.2GOPS Multiprocessor DSP for Communication
Applications. In ISSCC 2000 Digest of Technical Papers, pages 70-71, 2000.
[19] D. Efstathiou. Recent Developments in Enabling Technologies for Software Defined Radio. IEEE Communications Magazine, pages 112-117, August 1999.
[20] T. S. Rappaport.
Wireless Communications, Principles & Practice. Prentice
Hall, 1996.
[21] J. G. Proakis. Digital Communications. McGraw-Hill, 1995.
128
[22] G. R. Cooper and C. D. McGillem. Modern Communications and Spread Spectrum. McGraw-Hill, 1986.
[23] D. Forney. Class Notes for Digital Communications. Massachusetts Institute of
Technology, 2000.
[24] M. H. Perrott. Techniques for High Data Rate Modulation and Low Power Operation of Fractional-NFrequency Synthesizers. PhD Dissertation, Massachusetts
Institute of Technology, Department of Electrical Engineering and Computer
Science, 1997.
[25] J. D. Gibson. The Mobile Communications Handbook. CRC Press, 1999.
[26] J. B. Anderson, T. S. Rappaport, and S. Yoshida. Propagation Measurements
and Models for Wireless Communications Channels.
IEEE Communications
Magazine, November 1994.
[27] T. S. Rappaport and C. D. McGillem. UHF Fading in Factories. IEEE Journal
on Selected Areas in Communications, pages 40-48, January 1989.
[28] P. Nobles and F. Halsall. Delay Spread and Received Power Measurements within
a Building at 2 GHz, 5 GHz, and 17 GHz. In 10th IEEE InternationalConference
on Antennas and Propagation,pages 2.319-2.324, 1997.
[29] S-C. Kim, H. L. Bertoni, and M. Stern. Pulse Propagation Characteristics at
2.4 GHz Inside Buildings. IEEE Transactions on Vehicular Technology, pages
579-592, August 1996.
[30] A. Leon-Garcia. Probability and Random Processes for Electrical Engineering.
Addison-Wesley, 1994.
[31] J Sun and I. S. Reed. Performance of MDPSK, MPSK, and Noncoherent MFSK
in Wireless Rician Fading Channels. IEEE Transactions on Communications,
pages 813-816, June 1999.
[32] FCC Rules Part 15 - Radio Frequency Devices, March 2000.
129
[33] D. P. Satapathy and J. M. Peha. Etiquette Modification for Unlicensed Spectrum:
Approach and Impact. In IEEE Vehicular Technology Conference, pages 272276, 1998.
[34] J. E. Padgett, C. G. Gunther, and T. Hattori. Overview of Wireless Personal
Communications. IEEE Communications Magazine, pages 28-41, January 1995.
[35] E. C. Arvelo. Physical Layer DSP Design of a Wireless Gigabit/s Indoor LAN.
Master's thesis, Massachusetts Institute of Technology, Department of Electrical
Engineering and Computer Science, 2000.
[36] X. Tang, M-S. Alouini, and A. Goldsmith. Effect of Channel Estimation Error on
M-QAM BER Performance in Rayleigh Fading. In IEEE Vehicular Technology
Conference, pages 1111-1115, February 1999.
[37] S. J. Awcock and P Chambers. Development of a Digital HF Modem Using Simulation for Design Development and Proving. HF Radio Systems and Techniques,
pages 383-390, 1994.
[38] SPW Hardware Design System User's Guide, 1999.
[39] S. McGrath and E. Scully. Low Power ASIC Design for Wireless Communications. In IEE Colloquium on Low Power Analog and Digital VLSI: Techniques
and Applications, pages 3/1-3/6, 1995.
[40] I. D. Mayergoyz and W. Lawson. Basic Electric Circuit Theory. Academic Press,
1997.
[41] P. Fines and A. H. Aghvami. A Comparison Study of Low Bit Rate DE-QPSK,
TCM 8-ary PSK and 16-ary DE-QAM Fully Digital Demodulators Operating
Over a Land Mobile Satellite Link.
In 1EE Proceedings I: Communications,
speech and Vision, pages 319-328, June 1992.
130
Download