Scott - University of Guelph

advertisement
X-ray Lithography
physical synthesis of nanostructures
20 nm
60 nm
Chen et al., Electrophoresis, 2001
Scott Allen
Physics Department
University of Guelph
Outline
What is lithography?
What are x-rays?
x-ray lithography (XRL)
production of x-rays
x-ray interactions
mask
production
Summary
Lithography
the exposure and development of a pattern in a
radiation sensitive film (called a resist) – typically a
polymer
lithography is generally followed by a process which
transfers the pattern from the resist to a substrate
via etching, growth of a material in the interstices of
the resist , or doping
What are x-rays?
Wilhelm Conrad Roentgen (1845-1923)
discovered an unknown ray in 1895 (X-ray)
http://www.xray.hmc.psu.edu/rci/ss1/img0003.JPG
experimenting with evacuated tubes that he would fill with specific gases
and then pass electricity through
Roentgen found that despite shrouding the tube in black paper (as it let off
a glow similar to our incandescent bulbs) it somehow caused a barium
platinocyanide-coated screen to glow
won the first Nobel prize in physics in 1901
http://pt.wikipedia.org/wiki/Imagem:Roentgen-x-ray-von-kollikers-hand.jpg
Where are x-rays?
x-rays are light
(l = 10 nm to 0.01 nm)
http://lasp.colorado.edu/cassini/images/Electromagnetic%20Spectrum.jpg
x-ray interactions
x-rays interact with matter through the excitation or ionization of
atomic electrons
absorption of high energy x-rays causes the creation of
photoelectrons (core shell holes) but does not lead directly to
resist modification
Cerrina, J Phys D, 2000
relaxation is what leads to material modifications
energy release of a higher lying electron via x-ray
fluorescence
Auger effect – higher lying electron transfers energy to
another atomic electron, which is then ejected
eds. Suzuki, et al., “Sub-Half-Micron Lithography for ULSIs”, 2000
photoelectrons and auger electrons are responsible for
modification of resists
Producing x-rays – synchrotron radiation
uses a magnetic field to cause an electron beam to follow
a circular orbit
at velocities near the speed of light, the orbiting
electron’s emitted radiation will become sharply
peaked in the forward direction
Wilson et al., IBM J Res Develop, 1993
capable of providing continuous source of soft and
hard x-rays
Synchrotron
Wilson et al., IBM J Res Develop, 1993
other methods of x-ray production exist (electron beam
bombardment, laser plasma, etc.)
plasma debris, insufficient intensity, blur, etc.
x-ray lithography
is a 1 X lithography (shadow) technique
D. L. Spears and H. I. Smith, “High-Resolution Pattern
Replication Using Soft X-Rays,’’ Electron. Lett. 8, 102 (1972).
traditional lens systems are unavailable (no
demagnification)
therefore mask features must be of the order of the
feature dimensions that are required
therefore great effort must be put into creating
high precision masks and properly aligning them
XRL resolution is determined mainly by Fresnel
diffraction and scattering of secondary electrons
in the resist
x-ray masks
support
glass
substrate
Peckerar, Proc. IEEE, 1993
must be transparent to x-rays (low atomic number)
must also be rigid to prevent distortion
silicon-nitride
absorber
must be absorbant to x-rays (high atomic number)
Au, W, Ta or one of their alloys
concerns: non-uniformity of stress in x-ray absorber, pattern placement
error in the E-beam lithography, damage due to x-ray irradiation
Production
precise gap must be
maintained
beam scanned over mask
fresnel diffraction
2
f = w /lg
f – fresnel number
w – feature size
l – x-ray wavelength
g – gap spacing
100 nm features require (l = 1 nm, g = 10 mm with f = 1)
Cerrina, J Phys D, 2000
Summary
synchrotron production of x-rays is the most favorable
mask production seems to be the limiting step in the
technology (no demagnification optics possible)
expensive to produce
minimum feature size is dependent upon a number of factors
materials used, wavelength of x-ray, gap spacing
Future
lithography technology driven by semiconductor
manufacturing
INTEL’s lithography roadmap proposes the extension of
extreme ultra violet (EUV – 13.5 nm) lithography down to 45nm
feature generation
in order for x-ray lithography to become industrially relevent
and not purely the domain of research it must become
economically favorable at feature sizes below 45nm
this means a deviation from the exact technology described
here but using similar principles the 20 nm regime is accesible
Kitayama et al., J Vac Sci Technol B, 2000
Khan et al., J Vac Sci Technol B, 2001
thanks
Any questions?
mask fabrication
Cerrina, J Phys D, 2000
www.medical.philips.com/main/products/xray/assets/images/rad/unique/DR_L_spine_02_lar
http://www.thebritishmuseum.ac.uk/science/images/femurc14.gif
Download